Preguntas con etiqueta 'xilinx'

5
respuestas

No coincidencia entre la simulación de nivel RTL y la simulación posterior a la síntesis usando xilinx xst

He escrito un código verilog y la simulación RTL está funcionando bien. Después de esto, sinteticé el diseño utilizando la herramienta XST en Xilinx ISE 13.2. La simulación post-síntesis está mostrando algunos resultados inesperados. No sé qué s...
1
respuesta

¿Qué hace Vivado HLS y en qué se diferencia de lo que hace SDSoC?

Soy un novato en el diseño de sistemas digitales. Entiendo que Vivado HLS es una herramienta que acelera la productividad del diseño al permitirle verificar los diseños mediante un HDL. ¿Qué hace Vivado HLS para lograr esto? ¿Cuál es el proceso...
1
respuesta

Asistente de sincronización de Xilinx - Cómo conectar clkfb_in y clkfb_out

Creé un diseño VHDL que necesita una entrada de reloj de 50 MHz. El Spartan-6 en el que estoy trabajando me da una señal de reloj de 100 MHz, así que utilicé el Asistente de reloj de Xilinx para obtener un reloj de 50 MHz. Cuando elijo "No Buffe...
2
respuestas

¿Cuáles son los tipos de archivos utilizados para la simulación de Xilinx Vivado?

Veo muchos archivos, no todos tienen un propósito obvio. ¿Dónde está una lista de tipos de archivos, especialmente los de simulación? Específicamente, ¿para qué son estos archivos? que necesita estar en la fuente ¿Control, y cuáles son l...
2
respuestas

Cómo conectar Spartan-6 con ISE 14.2 en Ubuntu

Compré mi primer FPGA y estoy intentando implementar un programa VHDL simple en él, pero no sé cómo conectarlo. tablero de Atlys a ISE 14.2. Estoy usando Ubuntu de 64 bits.     
2
respuestas

¿Puedo usar la señal de bloqueo de xilinx PLL como reinicio?

Me gustaría hacer una señal de reinicio. ahora estoy usando xilinx pll y encontré esa señal de LOCKED_OUT. ¿Puedo usar esa señal LOCKED_OUT para restablecer mi fpga? El siguiente es un ejemplo de mi idea de la pregunta. pll100 u_pll100(...
1
respuesta

Uso del reloj en restricciones de IO de estilo SDC para FPGA

Pregunta sobre el uso del reloj en las restricciones de retardo de E / S de estilo SDC La intención de este informe es aclarar cómo debe restringirse una interfaz de IO de FPGA. Como preámbulo, las dos restricciones de tiempo que se pueden us...
1
respuesta

Error al pasar un cable de diferente tamaño a la entrada del módulo

Tengo un módulo para mostrar un número base 10 en mi pantalla de 7 segmentos. module displayN(input [13:0] n, input clk, input [3:0] an, input [6:0] seg); wire [3:0] d1 = n % 10; wire [3:0] d2 = (n / 10) % 10; wire [3:0] d3 = (n /...
1
respuesta

FPGA de Xilinx, error al crear el reloj generado

Acabo de recibir una placa Digilent Basys 3 (Artix-7 FPGA) y estoy intentando crear un programa para transmitir datos a través de la conexión UART-USB. Escribí un módulo, pero cuando intenté implementarlo, recibí un error de tiempo. He estado us...
2
respuestas

Reinicie la configuración de FPGA sin reprogramar

Estoy realizando un experimento en la placa Xilinx VC709. El experimento consiste en eliminar y conectar la memoria RAM DDR3 mientras se ejecuta el FPGA. Pero cada vez que vuelvo a conectar la memoria RAM, tengo que reprogramar el FPGA. Estoy us...