Preguntas con etiqueta 'xilinx'

0
respuestas

¿Cómo elegir un FPGA?

Necesito hacer un procesamiento de señal digital en 8 líneas analógicas a 10 kHz. Esta es una tarea bastante exigente, y estaba pensando que un FPGA podría ser el enfoque correcto. Actualmente estoy viendo los kits de desarrollo de Xilinx, y com...
4
respuestas

Lista de sufijos de archivos Xilinx (para ISE)

Le pedí a Xilinx una lista así, pero no tienen una lista completa. Deseo asegurarme de que todos los archivos de entrada estén en control de código fuente y todos los archivos de salida no. Esto es con 13.1-13.2 con ISE y PlanAhead Parte de l...
8
respuestas

Proyecto para aprender VHDL

Soy un estudiante de EE y puedo escribir programas [al menos simples] en más idiomas de los que tengo los dedos. Acabo de empezar a aprender VHDL y me preguntaba qué sería un buen proyecto para conocer realmente el idioma y las herramientas rele...
1
respuesta

¿Puedo usar pines de E / S diferenciales de FPGA como comparador de alta velocidad?

Los comparadores de alta velocidad son bastante caros y la velocidad es lo que los FPGA son muy buenos. Por otro lado, los FPGA (en mi caso: XC3S400) han emparejado los pines diferenciales en cada banco con el que se comparan sus voltajes (¡al m...
3
respuestas

FPGA, primeros pasos

Bueno, esta es una continuación de mi pregunta sobre FPGA en aquí . Finalmente seleccioné un Digilent Atlys con un Spartan 6 FPGA, No tengo ninguna experiencia previa de FPGA, aunque he trabajado un poco con microcontroladores. Pasé los...
4
respuestas

FPGA: ¿contar hacia arriba o hacia atrás?

Estoy aprendiendo a usar un FPGA (placa de desarrollo de Papilio, que tiene un xilinx spartan3e, usando vhdl). Necesito dividir un pulso entrante por un número (codificado). Puedo ver 3 opciones, aproximadamente, como pseudocódigo (usando...
3
respuestas

¿Cómo identificar las áreas de un diseño de FPGA que utilizan la mayoría de los recursos y el área?

Estoy trabajando en un gran diseño de FPGA, y estoy muy cerca de los límites de recursos del FPGA que estoy utilizando actualmente, el Xilinx LX16 en el paquete CSG225. El diseño también está casi completo, sin embargo, en este momento ya no...
4
respuestas

Diseño de firmware FPGA: ¿Qué tan grande es demasiado grande?

Tengo una transformación de procesamiento de señal particularmente grande que necesita ser portada desde matlab a VHDL. Definitivamente requiere algún tipo de intercambio de recursos. Un poco de cálculo me dio lo siguiente: 512 pies de 64 pu...
2
respuestas

¿Qué es un "medio enganche" en un FPGA?

En un artículo sobre FPGA con radiación intensa encontré esta frase:    "Otra preocupación con respecto a los dispositivos Virtex es la mitad de los pestillos. La mitad   Los cierres se utilizan a veces dentro de estos dispositivos para inter...
1
respuesta

Simulando un banco de pruebas simple con un núcleo ROM sintetizado

Soy completamente nuevo en el mundo de los FPGA y pensé que empezaría con un proyecto muy simple: un decodificador de 4 bits y 7 segmentos. La primera versión que escribí solo en VHDL (es básicamente un único select combinatorio, no es ne...