Preguntas con etiqueta 'xilinx'

2
respuestas

Cómo analizar el informe de tiempo para Xilinx FPGA

Estoy tratando de aprender la programación FPGA, mi proyecto de prueba es una CPU MIPS canalizada de 5 etapas, que funciona. Hasta ahora he estado optimizando la utilización del área, sin embargo, esto ha provocado una velocidad de reloj muy...
2
respuestas

¿Cuál es la relación entre una caja de cambios 10: 5 y un OSERDES2 5: 1?

Estoy tratando de entender la implementación de HDMI en la nota de la aplicación Xilinx XAPP495 . Especialmente, no entiendo el diagrama a continuación donde hay conexiones entre las cajas de engranajes y oserdes2. Como puede ver en el...
2
respuestas

RAM de doble puerto en Altera y Xilinx FPGA

Siempre he logrado sintetizar una RAM de doble puerto de 256 x 32 bits (no es la verdadera RAM de doble puerto) en Xilinx ISE con solo 1 x 18K de BRAM. Se usó el código de ejemplo de aquí : -- A parameterized, inferable, true dual-port, du...
1
respuesta

Verilog - Una línea permanece alta, necesito que se quede baja después de un tiempo

Estoy trabajando en un circuito en Verilog para implementarlo en un CPLD. La salida del circuito impulsará un motor paso a paso. La entrada es un flujo de pulsos de una máquina. Genero un pulso paso a paso cada X pulsos de husillo. No hay pro...
1
respuesta

¿Es posible que un FPGA se configure "parcialmente"?

Tengo una placa espartana 6 que diseñé y tengo algunos problemas de configuración. Estoy usando SPI flash para programar el fpga (por ejemplo, yo uso jtag para escribir el flash y el flash escribe el fpga). El esquema que utilicé para la configu...
1
respuesta

puerto de salida en el módulo VHDL RS232 de Digilent

Estoy viendo el componente de referencia de Digilent RS232 disponible en enlace para el Spartan 3E Kit de inicio. Comencé a armar un banco de pruebas, pero el código VHDL contiene un puerto 'inout'. He estado buscando en el código del compo...
1
respuesta

Axi DMA parámetros correctos

Estoy haciendo mi diseño con Vivado HLs y Vivado y estoy haciendo algunas transferencias algo grandes entre DDR y mi bloqueo de IP personalizado y viceversa. Cada transferencia de DDR a IP personalizada es de 256x256x4 = 262144 bytes y ocurre...
3
respuestas

Usando ambos bordes de reloj en un diseño FPGA

Entonces, después de recibir algunos consejos de algunas buenas personas aquí, logré armar mi primer diseño de FPGA (muy modesto). Básicamente, son solo unos pocos registros y contadores, y solo se ejecutan a unos pocos MHz, pero podría sintetiz...
1
respuesta

¿Cómo calculo la corriente de suministro para un chip zynq 7010?

Tengo un chip Zynq 7010, y los requisitos actuales en la hoja de datos me confunden mucho. ¿Podría alguien explicar cómo determinar la cantidad de corriente que necesitaré suministrar a cada riel? enlace     
2
respuestas

FPGA de Xilinx: ¿cómo degradar advertencias específicas a información o hacerlas desaparecer?

Las herramientas Xilinx dan MUCHAS advertencias sobre cualquier diseño significativo. A veces, reviso mi diseño para eliminar las advertencias (por ejemplo, si un canal de un módulo ADC no se usa, voy a cambiar el módulo para eliminarlo, etc.)....