Preguntas con etiqueta 'xilinx'

1
respuesta

simulación de escritura de RAM en VHDL

Escribir un valor en la RAM es una pequeña parte de mi proyecto, todo funciona, pero no puedo explicar nada relacionado con la RAM / temporización digital. Escribiré una descripción con respecto a la imagen que agregué. macc_out va a data...
1
respuesta

Portabilidad API para ARM Cortex (Xilinx Zynq 7000) con PowerPC (Xilinx Virtex 4)

¿Las API (o herramientas) utilizadas por "PowerPC Hard Macro" para integrarse con Virtex 4 son similares en el caso de ARM Cortex con Artix 7 (en Zynq)? Lo ideal sería que lo pareciera, ya que Xilinx lo mantendría así por su facilidad de uso,...
0
respuestas

¿Cómo especificar un reloj mínimo para el tiempo de salida en la restricción de tiempo de salida?

En un diseño, un pin de reloj externo activa un flip-flop, donde la salida va a un pin de datos externo. Utilizando Xilinx ISE, ¿cómo puedo especificar una restricción de tiempo, por lo que la salida debe mantenerse durante un breve período d...
3
respuestas

¿Cómo disminuir las LUT utilizadas en el diseño de FPGA?

Estoy trabajando con el chip FPGA Spartan 2 XC2S50 en la placa Xilinx Hay un problema en mi diseño que aumenta la cantidad de LUT usadas y esta es la biblioteca de utilidades: library IEEE; use IEEE.STD_LOGIC_1164.all; package Utility i...
2
respuestas

¿Cómo se enruta físicamente una señal en un FPGA?

He consultado esto similar pregunta, pero no proporciona la respuesta que estoy buscando. Los documentos de Xilinx también me confunden y el diagrama proporcionado en la vista de Implementación del software Xilinx no arroja mucha luz sobre est...
2
respuestas

FPGA de punto flotante a 32 bits sin firma

Respecto a algo que leí en un manual de Xilinx que dice esto:    Debido a que las operaciones de punto flotante utilizan recursos considerables en relación con las operaciones de punto entero / fijo, la herramienta Vivado HLS utiliza esos rec...
4
respuestas

Xilinx ISE - VHDL: plantilla de código para crear una ROM

Estoy buscando una implementación de ROM usando el código VHDL. Estoy buscando un código para hacer este ejemplo fácil para luego hacer una generalización. Quiero poner en la ROM estos valores: 14,45,67,32,12,64,89,34,54,22 de modo que cuando la...
2
respuestas

optimización de síntesis vhdl: contadores en estadísticas

Tengo una pregunta general sobre la eficiencia de una máquina de estado sintetizable. La primera versión usa el mismo contador para cada estado. El segundo usa un contador propio para cada estado. ¿Qué versión de las dos es más eficiente (áre...
2
respuestas

símbolo de triángulo en la vista esquemática xilinx

Estoy trabajando en un proyecto en spartan 6 usando xilinx ISE 14.7. Cuando abrí una vista esquemática, no puedo reconocer el triángulo rojo entre esas conexiones, que creo que no debería ser un bloque predefinido como el búfer. ¿Es eso un ampli...
2
respuestas

¿Qué está haciendo este multiplexor en este diseño?

El diseño es de un documento FPGA de Xilinx . Creo que estas son tablas de consulta preprogramadas porque no hay entrada de bit de selección, pero en la parte inferior dice que son:    Multiplexor [s] controlado por el programa de configurac...