Preguntas con etiqueta 'xilinx'

2
respuestas

circuito de debouncer VHDL

Estoy trabajando en un laboratorio de ingeniería digital y estoy tratando de averiguar cómo funciona este circuito de desalojo. Se proporciona tal como está por Xilinx, pero no estoy muy seguro de por qué hace lo que hace. ¿Algún puntero, tal ve...
2
respuestas

selección de chips SDRAM

Necesito almacenar 1.5Gb / s de datos de video a través de SDRAM, lo que resulta en una combinación de entrada y salida de 3Gb / s. Este es mi pensamiento hasta ahora: La longitud de ráfaga de escritura / lectura se establece en máx (16 ci...
1
respuesta

Nexys 4 DDR no carga el flujo de bits de la tarjeta microSD?

Siguiendo la mitad inferior de la página 6 de este PDF , formateé una tarjeta microSD de 2 GB al sistema de archivos FAT32 usando mkfs.fat en Ubuntu y copié main.bit de uno de mis proyectos. Luego inserté la tarjeta microSD en mi...
1
respuesta

¿Por qué Xilinx ISE no puede acceder a mi paquete personalizado?

Estoy intentando crear un tipo de datos personalizado que estoy creando en un paquete usando Xilinx ISE 14.5. Estoy intentando crear un DEMUX genérico para cambiar entre buses, aquí está el código del DEMUX genérico: library IEEE; use IEEE.STD...
2
respuestas

¿Qué herramientas utiliza para analizar los datos de forma de onda de la simulación o las trazas del analizador lógico?

Necesito guardar las formas de onda del ChipScope de Xilinx para revisarlas o analizarlas posteriormente con mis compañeros de trabajo. Wikipedia proporcionó una útil lista de herramientas de forma de onda pero estoy buscando comentarios de...
3
respuestas

Microcontroladores: ¿Puedo realizar operaciones de punto flotante en un controlador Picoblaze?

Me pregunto si podría realizar operaciones de punto flotante en un controlador Picoblaze. Gracias a todas las respuestas posibles con referencias directas a documentación o artículos.     
1
respuesta

Vivado está eliminando los registros que se utilizarán

Estoy trabajando en un programa Verilog en el que quiero que muestre algún tipo de forma de onda de audio (capturada desde mi micrófono) a través de un VGA. Utilizo el siguiente módulo para cambiar las nuevas muestras de audio y lo intercambio c...
3
respuestas

Xilinx XST no infiere bloque ram

Tengo problemas para conseguir que el diseño de mi computadora FPGA 80's encaje en una placa Papilio Duo que es un Spartan 6 - xcs6slx9. El problema radica en que la RAM se deduce como distribuida en lugar de bloque. Versión corta: estoy usan...
3
respuestas

Recreación exacta de binarios FPGA de Xilinx desde el control de origen

Soy desarrollador de software en una pequeña tienda donde solo ha habido un responsable de EE para una serie de diseños de FPGA durante una década, casi todos los cuales se dirigen a la línea Spartan, específicamente al XC3S5000. Estoy buscan...
3
respuestas

Verilog Netlist format with “\”

Después de sintetizar el diseño de mi nivel RTL en la lista de redes verilog, encuentro que la sintaxis es confusa. Esto es lo que quiero decir. El compilador RTL me da: MUX2X1 g11005(.A (n_741), .B (\in_a[9] ), .S (n_2197), .Y (n_1063));...