Preguntas con etiqueta 'xilinx'

2
respuestas

¿Generando un archivo MSS en la línea de comandos?

¿Hay una manera de generar un archivo mss desde el archivo XML SDK exportado en la línea de comandos? En este momento, todavía tengo que abrir xsdk, generar un nuevo proyecto hello world para crear el archivo mss. Pero me gustaría generar el arc...
3
respuestas

LUT contra multiplicadores basados en IP dura en Spartan-3 FPGA para la multiplicación de coeficientes constantes

Antes de llegar a mi pregunta, aquí están las especificaciones para el tablero y la herramienta de síntesis que estoy usando: Familia: Spartan3 Dispositivo: XC3S200 Velocidad: -5 Herramienta de síntesis: XST Mi multiplicador de 4 bi...
2
respuestas

Exportar vista RTL y formas de onda como imágenes del paquete web ISE de Xilinx

Creo que esta es realmente una pregunta de tarea, pero la llamada de los moderadores. Estoy tratando de exportar la vista RTL y las formas de onda de mi código verilog a un archivo de imagen (cualquier formato). Pero no parece haber ninguna opci...
1
respuesta

Configuración de un solo pin no utilizado en Xilinx ISE

Tengo un diseño Xilinx CPLD en el que no estoy usando todos los pines, sin embargo, he reservado algunos para uso futuro y los he unido a un microcontrolador. Estoy definiendo mi pinout en un archivo de restricción (UCF). Quiero configurar todos...
1
respuesta

Modelsim - Problema de verificación extraño con DDR y Xilinx UNISIM

Estoy haciendo la verificación del componente VHDL usando OVM y encontré problemas serios. He encontrado que el problema está en un componente específico y un entorno creado específicamente para él. Es un convertidor de interfaz de RGMII a inter...
1
respuesta

Aumento de utilización de FPGA en un núcleo del Generador del Sistema al actualizar de ISE 13.2 a ISE 14.7

Tengo un gran núcleo generador de sistema desarrollado originalmente con la versión 13.2. Actualmente estamos actualizando algunos proyectos a la última versión de ISE, la 14.7. En el paso final consolidamos el proyecto generado por SysGen, e...
1
respuesta

¿Puedo implementar una radio FM en el kit Spartan 3E?

¿Puedo implementar una Radio FM en el kit Spartan 3E? Problemas iniciales que estoy enfrentando- ¿Cómo conecto la antena con el kit Spartan 3E? Implementando el convertidor A / D.
1
respuesta

¿Cómo llenar rápidamente toda la memoria DDR con las herramientas Xilinx?

Tengo una placa con una memoria DDR3 y un Virtex 7 FPGA. He utilizado Xilinx MIG para crear un controlador de memoria y puedo leer / escribir con éxito en la memoria usando registros de Microblaze. Me gustaría llenar todo el módulo de memoria DD...
1
respuesta

conversión de lógica estándar a float en vhdl

Soy nuevo en este campo. Tengo un problema con la conversión de entrada de lógica estándar en valores reales. He estado usando la función to_float pero siempre mostró un error. Cuando usé el paquete float_generic_pkg , mostró un err...
1
respuesta

capacidad de macrocélula de Xilinx CPLD

Soy un principiante que está interesado en los CPLD de Xilinx. Entiendo lo que hacen los CPLD, pero no tengo idea de la cantidad de lógica que puede soportar una macrocélula o el tipo de situaciones en las que las macrocélulas se vuelven inefici...