Configuración de un solo pin no utilizado en Xilinx ISE

3

Tengo un diseño Xilinx CPLD en el que no estoy usando todos los pines, sin embargo, he reservado algunos para uso futuro y los he unido a un microcontrolador. Estoy definiendo mi pinout en un archivo de restricción (UCF). Quiero configurar todos los pines no utilizados y desconectados en GND, pero quiero configurar todos los pines no utilizados conectados al microcontrolador a PULLUP (por temor a que el microcontrolador accione accidentalmente uno de esos pines, dando un punto muerto a GND). Sé cómo establecer la configuración predeterminada de todos los pines no utilizados en GND o PULLUP. Necesito saber cómo establecer el estado de terminación de salida de los pines si difieren de la configuración global de los pines no utilizados (como ocurre en el caso de los pines conectados al microcontrolador). Intenté agregar una línea al archivo de restricciones para ellos, pero ISE me advierte y luego los optimiza, ya que no están conectados a ninguna lógica internamente y (según el software) no es necesario. ¿Hay alguna manera de establecer ciertos pines no utilizados en un estado específico que no sea el estado prescrito globalmente mediante el uso del archivo de restricciones? ¿Otro método (preferiría el archivo UCF ya que significa que no tengo que agregar atributos VHDL específicos del fabricante a mi código)?

    
pregunta Joel B

1 respuesta

2

Desea que la salida sea baja, así que sigue adelante y hazlos en tu VHDL. Entonces no se optimizarán.

Una sola red llamada "RESERVADO (xx downto 0)" lo haría. Átela en su VHDL y fíjela a los pines no utilizados como lo haría con cualquier otra señal.

Esta es la forma más portátil, realmente.

    
respondido por el darron

Lea otras preguntas en las etiquetas