Preguntas con etiqueta 'ise'

4
respuestas

Lista de sufijos de archivos Xilinx (para ISE)

Le pedí a Xilinx una lista así, pero no tienen una lista completa. Deseo asegurarme de que todos los archivos de entrada estén en control de código fuente y todos los archivos de salida no. Esto es con 13.1-13.2 con ISE y PlanAhead Parte de l...
1
respuesta

Evitar que se optimice el módulo Verilog

He intentado poner muchos inversores para realizar pruebas de estrés en mi fuente de alimentación Spartan 6 según lo recomendado aquí . Aquí está el módulo básico: module inverter( input wire clk ); reg [7:0] inverted; always @(posedge...
1
respuesta

Salida coregen mínima redistribuible para reconstrucciones de línea de comandos

Estoy creando un SoC con mi propio soft-core, y quiero que la gente pueda reconstruirlo fácilmente utilizando las herramientas de línea de comandos de Xilinx webpack. Estoy usando el Wizard de coregen para crear un módulo de reloj, pero la salid...
1
respuesta

Cómo deshacerse de la advertencia "ADVERTENCIA: PhysDesignRules: 367 - La señal IBUF está incompleta".

Estoy trabajando en una implementación simple de neuronas en un kit de inicio de Xilinx Spartan-3E y obtengo la advertencia del tema. ¿Alguien me puede explicar por qué estoy recibiendo este error? Mi código: module NeuronMdl #(parameter NU...
1
respuesta

¿Por qué Xilinx ISE no puede acceder a mi paquete personalizado?

Estoy intentando crear un tipo de datos personalizado que estoy creando en un paquete usando Xilinx ISE 14.5. Estoy intentando crear un DEMUX genérico para cambiar entre buses, aquí está el código del DEMUX genérico: library IEEE; use IEEE.STD...
1
respuesta

¿Por qué no funciona mi simple contador VHDL? ¿A dónde fueron mis señales?

Soy un principiante completo con VHDL y casi un principiante con lógica digital y tengo un problema para leer un libro que estoy leyendo. En particular, un ejercicio solicita construir un contador con una habilitación y un interruptor de reinici...
2
respuestas

Cómo conectar Spartan-6 con ISE 14.2 en Ubuntu

Compré mi primer FPGA y estoy intentando implementar un programa VHDL simple en él, pero no sé cómo conectarlo. tablero de Atlys a ISE 14.2. Estoy usando Ubuntu de 64 bits.     
1
respuesta

Configuración de un solo pin no utilizado en Xilinx ISE

Tengo un diseño Xilinx CPLD en el que no estoy usando todos los pines, sin embargo, he reservado algunos para uso futuro y los he unido a un microcontrolador. Estoy definiendo mi pinout en un archivo de restricción (UCF). Quiero configurar todos...
3
respuestas

¿Cómo disminuir las LUT utilizadas en el diseño de FPGA?

Estoy trabajando con el chip FPGA Spartan 2 XC2S50 en la placa Xilinx Hay un problema en mi diseño que aumenta la cantidad de LUT usadas y esta es la biblioteca de utilidades: library IEEE; use IEEE.STD_LOGIC_1164.all; package Utility i...
2
respuestas

¿Por qué mis programas FPGA no funcionan?

Soy muy nuevo en FPGA y lo siento por esta pregunta elemental. Acabo de crear un código XOR muy simple como este con Webpack ISE para descargar a XC2S100 (¡solo para prueba!) Pero no funciona. EDITION1: De acuerdo con los comentarios, verifiq...