Preguntas con etiqueta 'ise'

1
respuesta

Puede programar FPGA pero no PROM en mi tablero de desarrollo Spartan-3A

Decidí limpiar el polvo de mi tablero de inicio Xilinx Spartan-3A que obtuve hace un tiempo y aprender a usar Verilog. Así que con la ayuda del libro de Pong Chu FPGA Prototyping By Verilog Ejemplos: Xilinx Spartan-3 Version  Obtuve un program...
2
respuestas

Problemas de asignación excesiva de VHDL y constricción de tiempo en Xilinx-ISE

Tengo un problema con un módulo que uso para la rotación de un vector. Tengo dos operaciones, una usa 2 módulos rotLeft y la otra usa 2 rotRights. Originalmente, había ocupado problemas de overmapping de Slices, lo que me llevó a combinar los mó...
1
respuesta

código de verilog de error de sintaxis

este subcódigo que lee la línea de selección s4-s1 y toma la suma de a, b en la línea de selección = 0000. Al ejecutar este código en el negociador de proyectos ISE, da un error de sintaxis. Dile "Syntax error near"=" "en la línea z = 0 en la se...
2
respuestas

Tutorial corto de flujo de trabajo ISE FPGA

Estaría muy agradecido si alguien pudiera indicarme un tutorial de flujo de trabajo ISE corto que muestra cómo implementar un circuito simple usando VHDL. Como se indicó, el tutorial debería ser breve, ya que no me interesan todos los det...
1
respuesta

¿Hay compatibilidad con la lista XC5VLX110 en la configuración del Proyecto ISE?

Sólo estoy tratando de configurar ISE envirmonent. Pero no hay XC5VLX110 en la lista de dispositivos en la configuración del proyecto en ISE como se muestra en la siguiente imagen. ¿Qué debo hacer para resolver en esta situación?     
2
respuestas

FPGA: programa que no funciona siempre

Estoy haciendo un ADC (en VHDL) para Spartan-3AN. Desafortunadamente, tengo que programar mi FPGA (programa FPGA solamente) un tiempo aleatorio antes del amplificador de ganancia programable ( Spartan 3AN User Guide página 73) funciona correcta...
3
respuestas

¿Cómo simular una memoria 8x4 usando VHDL?

¿Por qué este código: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.ALL; use ieee.std_logic_arith.all; entity memorie8x4 is port( cs: in std_logic; -- cs = 1 => chip selected rw:...
1
respuesta

Xilinx CORDIC 4.0 Pregunta sobre los parámetros de traducción

Estoy desarrollando un modelo SysGen utilizando un núcleo CORDIC 4.0 Translate para pasar de coordenadas rectangulares a coordenadas polares. Necesito entender las opciones Rotación gruesa y Escalado de compensación . Acerca de Rotació...
2
respuestas

Error esquemático del contador ascendente BCD

Cuando intento simular mi esquema para mi contador, me aparece el error    Net "Net-name" no se puede conectar ni a un puerto de entrada ni a un pin de salida de instancia. Aquí está mi esquema Estoy bastante seguro de que el error s...
1
respuesta

¿Cómo sondear las señales y registros internos en FPGA sin usar JTAG?

Estoy utilizando un kit Xilinx Spartan 3E FPGA en mi proyecto académico para sintetizar un diseño que consta de un par de registros internos de 32 bits (por ejemplo, algunos contadores, desplazadores y algunos registros de configuración). No hay...