Preguntas con etiqueta 'ise'

1
respuesta

Al usar las herramientas ISE de Xilinx, el error "no tiene un puerto llamado"

Soy un principiante verilog. Creé un diseño usando Verilog directo y luego lo probé usando las herramientas de diseño ISE. Funciona muy bien. Me gustaría sintetizar esto para ver los recursos que se consumirán, pero para hacerlo parece req...
1
respuesta

Determine la versión de Xilinx ISE programáticamente

Estoy usando la cadena de herramientas Xilinx y me gustaría automatizar (con scripts TCL) algunas cosas. Si bien ISE Suite se puede automatizar ampliamente a través de TCL y su shell de TCL ( xtclsh.exe ), no parece haber ninguna manera pa...
1
respuesta

Usando las restricciones de UCF para asignar uno de los dos puertos de salida

Tengo un diseño de CPLD que tiene un pin de repuesto (depuración). Estoy intentando averiguar si es posible usar el archivo UCF para seleccionar en qué puerto de salida (NET) se convierte el pin. Sin embargo, el problema es que tengo dos rede...
1
respuesta

Usar elementos primitivos de Xilinx en Verilog dentro de ISE

Generé el modelo de simulación posterior a la ruta de Verilog de mi módulo Verilog original, usando Xilinx ISE. Generará un módulo Verilog utilizando primitivas de nivel LUT y fpga como IBUF, X_LUT4, ...  Al intentar compilar este código dire...
1
respuesta

¿Cómo determina Xilinx ISE el orden de compilación?

Estoy trabajando en un proyecto VHDL que es una prueba pequeña de SDRAM. Tengo estas entidades: arriba sdramwrapper sdram < - el núcleo IP generado sdrampkg < - contiene un paquete que contiene constantes utilizadas en varios lugar...
0
respuestas

Excluir cierto módulo de la optimización en Xilinx ISE 14.4

Estoy sintetizando un diseño bastante grande en Xilinx ISE 14.4 y me gustaría excluir ciertos módulos de la optimización. ¿Es eso posible? El diseño que estoy sintetizando es el microprocesador LEON3. He modificado la tubería de la Unidad Int...
0
respuestas

Optimización de macroceldas y bloques de funciones ISE XILINX

Obtengo el siguiente resultado cuando compilo mi código en ISE. Dice que el CPLD está lleno, pero no puedo dejar de notar que el optimizador debería poder mover elementos de diferentes bloques de funciones para optimizar el ingreso de macrocelda...
1
respuesta

Xilinx ISE Evita el recorte de la CPU

Estoy creando una CPU personalizada y me gustaría que fuera programable sobre la marcha en lugar de un código duro en VHDL. El problema que tengo es que sin el código inicial para que se ejecute la CPU, el ISE recortará grandes cantidades de mi...
1
respuesta

FPGA - Diagrama de enrutamiento - ¿cuáles son las partes físicas

En Xilinx ISE, he generado una pieza de hardware muy simple y al mirar el diseño enrutado, no estoy seguro de cuáles son algunas de las piezas y necesito alguna aclaración sobre cuáles son algunas de estas piezas. En este ejemplo, se eligió un d...
4
respuestas

¿Por qué Xilinx ISE no infiere Block Ram para esta matriz?

Tengo una entidad que tiene un tipo de matriz como se muestra a continuación: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; Entity LCD_Memory is port (CLK, Reset, WR : IN std_logic;...