Preguntas con etiqueta 'ise'

2
respuestas

Esquema del decodificador de BCD a 7 segmentos: se necesita ayuda en la página

Ahora mismo estoy trabajando en el esquema para un decodificador de BCD a 7 segmentos. Entiendo la lógica, pero es el diseño del esquema con el que necesito ayuda. Aquí está mi esquema hasta ahora: Como puede ver, solo tengo tres salid...
2
respuestas

¿Cómo asignar pines físicos de FPGA a los módulos Xilinx ISE Verilog?

Recientemente comencé a aprender programación FPGA. Tengo el "Verilog QuickStart book" y descargué Xilinx ISE Webpack v14 y miré varios videos de YouTube. En este momento puedo construir mis circuitos y probarlos con el simulador incorporado...
1
respuesta

¿Cuál es la mejor manera de controlar los proyectos de Xilinx PlanAhead?

En realidad, estoy migrando algunos proyectos maduros de Xilinx ISE a Xilinx PlanAhead. Necesito aprovechar las secuencias de comandos TCL y la partición de PlanAhead. Estos proyectos ISE están bajo control de versión en un repositorio SubVer...
1
respuesta

¿cuál es la diferencia entre ISE y Vivado? [cerrado]

Soy ingeniero de xilinx ISE y virtex 5. Encontré algo en Vivado cuando corrí por internet. ¿Cuál es la diferencia entre ISE y Vivado? ¿Debo mudarme a Vivado desde ISE?     
1
respuesta

Conducción de una señal diferencial desde FPGA

Descargo de responsabilidad: No estoy seguro de si este es el lugar correcto para preguntar esto. Estoy intentando crear un controlador sdram para el numato mimas v2 fpga. La placa contiene un módulo LPDDR (ya sea el Micron MT46H32M16LF o...
1
respuesta

¿Cómo asignar el mismo valor a un bus en Xilinx ISE (Esquema)?

¿Cómo configurar todos los bits, por ejemplo, en bus(7:0) al valor en net0 ?     
1
respuesta

Pestillo VHDL para Xilinx Spartan 3E

Estoy codificando un control de pantalla para el Spartan 3E. Dispone de 8 LEDs. Cuando la señal de estado de la ALU (de otro bloque) es "00", las MSB y las LSB se multiplexan en el tiempo por un segundo cada byte. Cuando el estado no es "00", un...
2
respuestas

Impedir que ISE intercambie bits en el archivo de configuración

Por alguna razón desconocida para mí, ISE proporciona una imagen de configuración de bits intercambiados: cada byte tiene sus bits intercambiados. ¿Hay alguna manera de deshabilitar este intercambio de bits? (Tener que hacerlo de nuevo en cód...
1
respuesta

¿Cuál es el propósito de un "BUF" en el esquema de Xilinx ISE?

Estoy trabajando en un esquema para un CPLD de Xilinx usando ISE. El esquema tiene un símbolo de triángulo etiquetado "BUF" antes de cada salida, y también entre otras redes. Realmente no puedo decir por qué algunas conexiones tienen "BUF" y otr...
2
respuestas

ISE: obliga al compilador a aceptar bucles largos

En este momento, ISE limita generate lazos a 64. Cuando subo, produce el error:    Se superó el límite de recuento de bucle. La condición nunca es falsa. Encontré que la opción loop_iteration_limit debería agregarse al proye...