Conducción de una señal diferencial desde FPGA

1 respuesta

3

Encontré mi respuesta en la página 260/261 de este documento . Tengo que usar la primitiva OBUFDS de la biblioteca unisim. Mi código final contiene las líneas:

library unisim;
use unisim.vcomponents.all;

differential_pair_driver : OBUFDS
port map (
    O => ck,
    OB => ck_n,
    I => clk
);

Editar: Lo que no entendí cuando escribí esta respuesta, es que el OBUFDS es un llamado primitivo. Las primitivas que puede usar pueden ser diferentes para otro FPGA. Creo que, en general, la forma más adecuada es buscar los primitivos para su fpga específico. Tengo un Spartan-6. Al buscar en Google 'spartan 6 primitive' se obtiene este documento como primer resultado. El OBUFDS se puede encontrar en la página 195.

    
respondido por el Ruben

Lea otras preguntas en las etiquetas