¿Cómo asignar el mismo valor a un bus en Xilinx ISE (Esquema)?

2

¿Cómo configurar todos los bits, por ejemplo, en bus(7:0) al valor en net0 ?

    
pregunta user

1 respuesta

3

Dado que net0 es solo un cable, no puede conectarlo directamente al bus (7: 0) porque, lógicamente, cortocircuitaría todo el bus líneas juntas. Por lo tanto, para poder hacer lo que quiera, necesitará usar una serie de ocho buffers. Las entradas de todos los búferes que conectas a net0 . Luego, las salidas del cable de búfer individualmente a bus (7) , bus (6) .... bus (0) .

Tenga en cuenta que esto plantea una pregunta mucho más grande aquí. No está permitido crear buses de tres estados dentro de la mayoría de los FPGA. Esto significa que la idea de asignar el valor net0 a todo el bus no tiene mucho sentido. Si realmente se pretende, simplemente elimine el bus (7: 0) net por completo y reemplácelo con el cable net0 .

    
respondido por el Michael Karas

Lea otras preguntas en las etiquetas