Preguntas con etiqueta 'vhdl'

1
respuesta

¿Cuál es la forma correcta de almacenar datos en un buffer de cuadros?

Estoy tratando de planear un proyecto de procesador de gráficos que solo generará líneas. Utilizará el algoritmo de línea de bresenhmam. Después de leer el algoritmo, tiene sentido cómo funciona el cálculo de las ubicaciones de píxeles que forma...
1
respuesta

VHDL: la adición real conduce a un 'error de comprobación enlazada'

Después de una pausa de un año, volví a aprender VHDL. Estoy trabajando en los ejercicios del libro de Peter Ashenden Beginner's Guide to VHDL y estoy atascado en el ejercicio 11 del capítulo 3. El objetivo es escribir un módulo, que realiz...
1
respuesta

¿Cómo debo manejar los meta-valores en implementaciones de máquina de estado finito usando VHDL?

Recientemente agregué la propagación de 'X' a mis diseños VHDL de nivel RT, para detectar de manera temprana cada vez que se realizan operaciones en valores desconocidos ('X') o no inicializados ('U'). Este último puede provenir de registros, qu...
1
respuesta

Netlist jerárquico vs Netlist aplanado?

Estoy aprendiendo acerca de la síntesis del código VHDL y tengo dudas sobre las ventajas y desventajas de las listas de conexiones Hierarchichal vs Flatten. El primero es como la interconexión de bloques y el segundo es como todos los recursos i...
1
respuesta

Simulador VHDL sumador completo, pregunta de tiempo

Digamos que tengo este diseño, por ejemplo: library ieee; use ieee.std_logic_1164.all; entity adder is port(x : in std_logic_vector(3 downto 0); y : in std_logic_vector(3 downto 0); z : out std_logic_vector(4 downto 0)); end entity...
2
respuestas

Ejecución dentro de un proceso [VHDL]

Tengo, por ejemplo, este fragmento de código: p1 : process (clk) begin if (a = '1') then a <= 0; end if; if (b = '1') then b <= 0; end if; end process p1; ¿Qué if-sentece se ejecuta primero? ¿a o B? Sé que el proceso es una...
2
respuestas

¿Cómo agregar ruido gaussiano o cualquier otro ruido a una onda sinusoidal (usando VHDL)?

Necesitamos una señal de onda sinusoidal ruidosa. Hemos generado la onda sinusoidal (usando VHDL), pero no podemos averiguar cómo agregarle ruido. Estamos incluyendo el código para la generación de onda sinusoidal. Por favor mencione cómo agrega...
1
respuesta

ERROR: HDLCompiler: 806 Error de sintaxis cerca de "puerto"

Estoy intentando implementar uno de los cifrados en VHDL. Tengo 2 entidades: Main y block_cipher La entidad principal también tiene un parámetro denominado modo que es de tipo: std_logic Por lo tanto, desde la entidad principal quiero...
1
respuesta

Carácter '0' usado pero no declarado para el tipo std_logic_vector ??? (Máquina de estado del algoritmo)

Después de agregar el contador a mi código VHDL, aparece el siguiente error: Error (10316): Error VHDL en ASM.vhd (31): el carácter '' 0 '' se usó pero no se declaró para el tipo "std_logic_vector" Gracias Código: library ieee; use ieee.std...
2
respuestas

Inferir el uso del bloque RAM con FIFO

Estoy intentando inferir el uso de un bloque de RAM de mi FPGA, pero no entiendo cuáles son las sugerencias necesarias. Utilizo Synplify Pro como mi herramienta de síntesis. Si no me equivoco, esta es una memoria RAM sincrónica de doble puerto q...