ERROR: HDLCompiler: 806 Error de sintaxis cerca de "puerto"

1

Estoy intentando implementar uno de los cifrados en VHDL.

Tengo 2 entidades: Main y block_cipher

La entidad principal también tiene un parámetro denominado modo que es de tipo: std_logic

Por lo tanto, desde la entidad principal quiero llamar a block_cipher en base al valor modo .

por ejemplo

blk_cipher_prc : process(mode)
begin
 if(mode = 0) then
    block_cipher_0 : block_cipher port map (text, key,output);
 end if;
end process;

Pero me da un error: ERROR: HDLCompiler: 806 Error de sintaxis cerca del "puerto".

Mi único motivo es llamar a la otra entidad en función del valor del modo, si alguien puede ayudarme con mi código o puede proporcionar alguna forma alternativa de hacerlo.

    
pregunta TechJ

1 respuesta

1

Perdone las interpretaciones erróneas, pero su terminología (código, llamada) sugiere que puede ver VHDL como un "programa". Es, en cambio, un lenguaje descriptor para describir un circuito electrónico digital. Reconocer que la distinción es primordial y se confundirá si no lo ve de manera clara y sin ambigüedades. Intente ver VHDL como lo que es en su corazón: un diagrama de circuito glorificado. Evite las comparaciones mentales con software y programas de computadora, que son muy diferentes.

Describir VHDL más allá de lo que necesita está más allá de esta publicación. Pero su archivo fuente debería crear una instancia ('conectar los cables de') su componente fuera de cualquier proceso dentro de su arquitectura.

    
respondido por el TonyM

Lea otras preguntas en las etiquetas