Estoy tratando de hacer un flip-flop JK en un entorno ActiveHDL.
Quiero hacerlo con puertas lógicas.
Debería verse así:
Esteesmicódigo:--nand3.vhdlibraryieee;useieee.std_logic_1164.all;entitynand3isport(A,B,C:instd_logic;D:outstd_logic);...
Obtuve un código para el generador de secuencia PN que usa el registro de desplazamiento de retroalimentación lineal en VHDL.
Estoy usando 1010 como semilla inicial, pero en la salida, las cuatro secuencias PN son 1 .
¿Qué cam...
Estoy desarrollando una aplicación con el objetivo de lograr el máximo rendimiento del dispositivo. Por rendimiento me refiero a la cantidad máxima de "núcleos" que se ejecutan a la frecuencia máxima.
Por lo tanto, tenemos: Virtex-6 XC6VLX240...
Soy nuevo en la programación para FPGA, por lo que me recomendaron usar un traductor de C / C ++ a VHDL disponible.
El problema es que hay toneladas de información y casi todos los programas buenos necesitan una licencia costosa.
Me darán...
Estoy trabajando en la implementación de un divisor polinomial, la operación es la siguiente:
Compruebe MSB del numerador:
si 1 XOR con Denom entonces desplaza Denom a la derecha
si 0 Num es el mismo y Denom también se desplaza a la derecha
A...
Quiero crear un controlador PWM de Led y creo que es fácil, pero una línea en mi código genera más advertencias que todos mis pequeños proyectos anteriores. Aquí está el código:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.A...
Soy bastante nuevo en el lenguaje VHDL y admitiré que lo uso principalmente con fines educativos. He estado intentando diseñar un circuito de cifrado de datos utilizando D flip flops. Obviamente, un componente importante en el diseño del cifrado...
Estoy implementando un sumador simple que se realiza en VHDL en una placa BASYS2.
Este es el siguiente código:
entity adder is
port (
a, b : in std_logic_vector(3 downto 0);
sum : out std_logic_vector(3 downto...
Quiero asignar el valor de un genérico que es un entero a una señal que es un tipo std_logic. Mi genérico solo puede tomar dos valores, 0 y 1 .
¿Cómo hago eso?
Quiero un contador que el bit más significativo alterna cada 2 segundos, y obtiene los valores 0 y 1. Así, por ejemplo, tendrá 0 por 2 segundos y luego 1 por otros 2 segundos, etc.
Lo necesito así porque voy a conectar el bit más significativo...