Preguntas con etiqueta 'vhdl'

2
respuestas

frecuencia de reloj dividida por 5 vhdl

Quiero obtener la división de frecuencia de reloj entre 5, ¿puedo hacerlo con un tipo entero o necesito otra cosa para ejecutar el número decimal? library ieee; use ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.ALL; entity divide_clk is port(...
1
respuesta

No se pueden extraer los valores esperados en VHDL en el primer ciclo de reloj

El diseño de VHDL a continuación debe extraer los bits Nth de los cuatro valores x_0, x_1, x_2 y x_3 y cree un nuevo valor en cada reloj, pero esto no está sucediendo. Busque debajo del diseño y la salida generada para unos pocos valores...
2
respuestas

Leer el valor decimal de una entrada binaria de 4 bits

Soy un novato en el mundo de VHDL, pero parece que tengo lo básico. Cajeros automáticos Estoy trabajando en un proyecto, que me obliga a tomar una entrada binaria de 4 bits (interruptores), leer este valor y convertirlo a valores decimales. H...
1
respuesta

VHDL 2008 - Uso de un paquete flotante personalizado

Estoy intentando usar el paquete de punto flotante que viene con VHDL2008 para tener un tipo de punto flotante personalizado; Necesito números flotantes de media precisión (16 bits). Como no tenía experiencia con VHDL, seguí la "guía de usuar...
1
respuesta

Inicializando la memoria RAM interna FPGA del archivo

Tengo un diseño que se basa en gran medida en la memoria RAM interna de doble puerto que se encuentra en el FPGA y quiero aprovechar el hecho de que el blockram puede tener valores iniciales de encendido para poblar toda esta memoria con datos a...
1
respuesta

¿Cómo escribir una declaración para generar para generar múltiples instancias de este circuito de red de clasificación paralela?

Estoy tratando de implementar una red de clasificación paralela. Tengo curiosidad por saber cómo se escribiría una declaración para generar 128 instancias de este circuito de clasificación. Se supone que ordena 256 números de 8 bits de menor...
2
respuestas

Salidas sincronizadas de origen de borde alineado

Esteesundiagramadebloquesbásicodelainterfazsincrónicadeorigenqueencontréeneldocumentoaltera. Aquí Así es como se ve la salida síncrona de origen alineado al borde. Dicenqueelreceptorcambiaráelrelojparacumplirconlosrequisitosdeconfigurac...
2
respuestas

¿Cuál es el equivalente de compilar de modelsim en quartus prime?

En ModelSim, puedo hacer clic en compilar y ModelSim lo compilará rápidamente, alrededor de 1 o 2 segundos. Pero en Quartus Prime, necesito ejecutar Analysis & Elaboración o Análisis & Síntesis que se ejecuta durante más de 40 segundo...
1
respuesta

Use valores flotantes en el código VHDL

Suponga que quiero tener una señal sinusoidal continua como entrada a mi código VHDL. Los valores serán de tipo float ya que tomarán valores no enteros, por ejemplo: 10.5 mA. ¿Cómo administro estos números en mi código VHDL? He intentado u...
1
respuesta

Error de VHDL 10481: no hay unidad primaria

Estoy diseñando un circuito que usa Simulink para generar VHDL para ser quemado en un FPGA. El modelo de Simulink funciona bien en Simulink, sin embargo, cuando intento compilar el código VHDL utilizando Quartus II, aparece el siguiente error:...