Quiero obtener la división de frecuencia de reloj entre 5, ¿puedo hacerlo con un tipo entero o necesito otra cosa para ejecutar el número decimal?
library ieee;
use ieee.std_logic_1164.all;
use IEEE.NUMERIC_STD.ALL;
entity divide_clk is
port( clk: in std_logic;
clk_out: out std_logic);
end divide_clk;
architecture behave of divide_clk is
signal count: integer range 0 to 24999999:= 0;
signal temp : std_logic := '0';
begin
process(clk)
begin
if (clk'event and clk='1') then
if (count = 2.5) then
temp <= not(temp);
count <= 0;
else
count <= count + 1;
end if;
end if;
end process;
clk_out <= temp;
end behave;