Preguntas con etiqueta 'verilog'

2
respuestas

¿Qué representan los corchetes en verilog?

¿Alguien puede ayudar? Sé que debe ser muy simple, pero todavía estoy confundido con lo siguiente: M41_1 (input0 [1], input1 [1], input2 [1], input3 [1], sel0, sel1, out [1]); ¿Qué representan los números entre corchetes?     
2
respuestas

leyendo datos de una memoria

He escrito el código para leer los datos de la memoria de la siguiente manera: module memcode(clk1,we,dout); reg [7:0] add = 8'b00000000; reg [7:0] din; input we,clk1; integer i=0; output [7:0] dout; reg [7:0] dout; reg [7:0] data; wire [7:0]...
2
respuestas

¿El orden de los cables para asignar es importante?

En Verilog, dados los cables a y b , hay una diferencia entre: assign a = b; Y assign b = a; ?     
1
respuesta

Recursos para aprender verilog

He empezado a aprender verilog recientemente y me resulta difícil entender cómo debo enfocarlo porque lo hago todo por mi cuenta y no por ningún instituto de capacitación. Los problemas a los que me enfrento son: No conocer una manera d...
2
respuestas

Implementación de un gran algoritmo paralelo para la comunicación con un servidor

Quiero ejecutar un algoritmo paralelo que implementaré en Verilog / VHDL y usaré un FPGA para ejecutarlo. Tengo algunas preguntas: ¿Cómo puedo hacer una solicitud http a los servidores que utilizan un FPGA? ¿Debo usar una computadora y t...
1
respuesta

¿Se puede usar una tarea del sistema como $ display en el código de Quartus II?

Comencé a aprender verilog recientemente y probé este código simple: module hello_world ; initial begin $display ("Hello World by jai"); #10 $finish; end endmodule Luego, configuro este módulo como Entidad de nivel superior y hago clic e...
2
respuestas

FPGA o microprocesador para robots basados en visión artificial para navegación en interiores [cerrado]

Estoy construyendo un robot de navegación para interiores que se puede usar en oficinas y fábricas, especialmente en espacios cerrados con buena iluminación. Ya descubrí el algoritmo que necesito usar para la navegación en interiores de un exper...
2
respuestas

Implementación de Gate BASYS Spartan 3E [cerrado]

este es mi primer post aquí Soy nuevo en FPGAs. Me gustaría implementar una puerta NOT en la FPGA BASYS (Spartan3E-100). He estado mirando el tutorial AQUÍ para trabajar Mi camino hacia una síntesis. Deseo mantener todo a bordo, es decir, solo...
2
respuestas

7 a 128 decodificador en Verilog

Soy nuevo en electrónica digital y programación en Verilog en particular. He programado algunos programas básicos como 2 a 4 decodificadores y 4 a 16 decodificadores. Pero estoy tratando de hacer un sensor de imagen y digo que tengo un píxel que...
1
respuesta

Mostrando números negativos en Verilog

Estoy escribiendo un programa para un sumador de arrastre de 16 bits y tropiezo un poco al final. Cuando ejecuto mi código (que incluye números negativos), está haciendo los cálculos correctos, pero dándome todas las respuestas positivas. Por ej...