Preguntas con etiqueta 'synthesis'

0
respuestas

Integración del núcleo IP de terceros mediante las herramientas de línea de comandos de Xilinx

Estoy tratando de integrar un núcleo de IP de terceros, que se proporciona en forma de un archivo de lista de conexiones .ngc y un archivo .vhd correspondiente con solo la declaración de la entidad, en mi diseño. El núcleo IP se sintetizó con Xi...
1
respuesta

En VHDL, ¿qué es una "variable" del tipo de operador de datos cuando se traduce al circuito? [duplicar]

El código VHDL finalmente se convierte en hardware equivalente cuando se sintetiza. ¿Qué sucede con el tipo de "variable" del operador de datos cuando se sintetiza?     
0
respuestas

Área vs Frecuencia de operación en síntesis asic

Estoy probando la herramienta Synopsys dc para sintetizar mi diseño. Probé diferentes frecuencias operativas y observé el área de diseño. Normalmente, el área debería aumentar al aumentar la frecuencia de operación, pero en mi caso, el área de d...
1
respuesta

VHDL sintetizar un módulo no funciona, pero simularlo sí lo hace. Error: descripción incorrecta del sincronizador

Me pregunto por qué la síntesis de este código no funciona, pero la simulación sí funciona. SOLO AL INTENTAR LA SINTETIZACIÓN obtengo el siguiente error:    Signal sig_enable no se puede sintetizar, mala descripción síncrona. El estilo de de...
2
respuestas

Análisis del oscilador del timbre

Estoy mirando un circuito de sintetizador analógico cuyo diseño incorpora varios "osciladores de timbre", que tienen este aspecto: Entiendoqueesteesunsistemaresonantequeingresaenlaoscilacióncuandolaentradacambiadebajaaaltaoviceversa,quesedes...
2
respuestas

Diseñe un circuito de compuertas lógicas, flip flops y / o multiplexores

Me gustaría crear un circuito simple a partir de puertas lógicas, flip-flops (sin componentes RLC) para realizar la siguiente tarea: Este circuito tiene 5 entradas (4 señales positivas de valor real \ $ x_1, x_2, x_3, x_4 \ $ y una señal de r...
1
respuesta

Cómo restringir una señal de reloj de un multiplexor

¿Cómo restringirías este diseño? ext_clkyclk_insonasíncronosentresí.clk_divsederivadeclk_incondobleperíodo.clk_outpuedesermanejadoporclk_inyext_clk,deacuerdoconelpinselectordelmultiplexor.Estoesloqueharía:create_clock-period42-waveform{021}[get...
1
respuesta

Error de sintaxis del flip-flop VHDL

Estoy tratando de averiguar cómo usar VHDL, y tengo algunas dificultades para escribir un simple flip flop. Quiero un flip flop T que funcione estrictamente fuera del reloj, cambiando de estado cada vez que recibe un flanco ascendente. Los er...
1
respuesta

¿Existe alguna herramienta que pueda crear un circuito lógico equivalente a algún código de Verilog?

Con el propósito de aprender, me gustaría saber si hay alguna herramienta (gratuita o comercial) que pueda sintetizar algún código de Verilog y producir el circuito lógico equivalente. Ejemplo: assign z = (a & b) | c; No me in...
1
respuesta

iniciando el procesamiento de video fpga? [cerrado]

Hola, soy un estudiante de ingeniería eléctrica desde que estudiamos solo microcontroladores y procesadores. Decidí aprender más acerca de fpga (tengo un poco de experiencia con spartan 3e vhdl). Mi objetivo es poder realizar el procesamiento de...