¿Cómo restringirías este diseño?
ext_clkyclk_insonasíncronosentresí.clk_divsederivadeclk_incondobleperíodo.
clk_outpuedesermanejadoporclk_inyext_clk,deacuerdoconelpinselectordelmultiplexor.
Estoesloqueharía:
create_clock-period42-waveform{021}[get_ports"clk_in"]
create_clock -period 300 -waveform {0 21} [get_ports "ext_clk"]
create_generated_clock -name clk_out -source [get_ports "clk_in"] -divide_by 2 [get_pins "xmux/y"]
create_generated_clock -name clk_out -source [get_ports "ext_clk"] [get_pins "xmux/y"] -add
No estoy totalmente seguro de que esta sea la forma correcta de restringir este diseño. ¿Cuál crees que será el impacto de estas restricciones en la generación de CTS en términos de equilibrio para la lógica cronometrada por clk_out si habla, mutuamente excluyente, con la lógica manejada por clk_in y ext_clk?