Preguntas con etiqueta 'synthesis'

1
respuesta

Especifique la ubicación del DSP FPGA de Xilinx con Vivado

¿Cómo puedo, ya sea en la RTL o en un archivo de restricción, asignar bloques DSP específicos a ciertas ubicaciones? Veo que los DSP están etiquetados por sitio, con nombres como DSP48_X5Y30 y me gustaría poder asignar una instanciación DSP part...
2
respuestas

¿Cómo leer varios archivos Verilog en Design Compiler?

Estoy intentando leer varios archivos de Verilog en Design Compiler, pero he encontrado un solo comando, read_verilog . Solo puede leer un archivo a la vez. Si tengo 1000 archivos Verilog, ¿tengo que usar 1000 read_verilog de com...
1
respuesta

Enviando señales SPI a la Memoria Flash a través del controlador verilog FPGA, pero sin recibir nada de ella, ¿por qué sucede?

Como proyecto escolar, quiero escribir un controlador muy simple para una memoria flash en una placa IC. El chip FPGA es Altera 5CEFA4F23C8 y el flash es MX25L3206E. Hice un esfuerzo para producir las señales SCLK, SI y otras que el chip nece...
2
respuestas

Cómo determinar efectivamente si la tabla de verdad dada es igual a otra (cuando tenemos en cuenta que pueden diferir en el orden de las entradas)

Estoy trabajando en un proyecto que no está realmente relacionado con los circuitos digitales sino más bien con el análisis de álgebra booleana. En algún punto me atoré en el problema algorítmico o tal vez de la estructura de datos: Cómo det...
0
respuestas

VHDL: ¿cómo realiza una transferencia de datos asíncrona entre entidades?

¿Cómo implementa el siguiente tipo de funcionalidad en VHDL que se puede sintetizar? LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY memory IS PORT ( data : INOUT STD_LOGIC_VECTOR (23 DOWNTO 0) := (OTHERS => 'Z'); wri...
0
respuestas

Acelerar la implementación de Vivado

En Vivado, la implementación de mis proyectos puede tardar un tiempo, especialmente si uso núcleos de depuración. ¿Qué puedo hacer para acelerar la implementación? Probé la restricción "RuntimeOptimized" y no hizo ninguna diferencia. ¿Hay una op...
1
respuesta

¿Es posible la RAM con lectura anticipada (mirar hacia adelante)?

¿Es posible inferir de manera eficiente una RAM con puertos "peek" aparte de los puertos estándar habituales? Una memoria RAM de 32 bits x 4 puede tener un puerto para mirar los datos justo antes de los datos actuales a los que accede la dire...
2
respuestas

Advertencia de puerto no conectado en el puerto de reinicio en el registro asíncrono en Vivado

He estado intentando sintetizar este modelo de registro. Su simulación en ModelSim está correctamente bien. Sin embargo, cuando la síntesis, siempre produce advertencias: [sintetizador 8-3331] el registro de diseño1 tiene el primer puerto des...
0
respuestas

Error de síntesis al usar el "área de modo de operación" en Xilinx XST

Estoy experimentando errores fatales al sintetizar mi diseño con Xilinx XST 14.7 y la opción -opt_mode establecida en area . Con -opt_mode establecido en speed funciona bien y la síntesis finaliza correctamente. El...
1
respuesta

¿cómo interpretar el informe RTL después de la síntesis en Xilinx?

Hice el código verilog de un circuito. Estaba simulando bien y dando salida correcta después de la simulación. Ahora hice síntesis, el esquema RTL después de la síntesis que muestra un cuadro verde y rojo. ¿Está indicando algún tipo de error? Po...