He estado intentando sintetizar este modelo de registro. Su simulación en ModelSim está correctamente bien. Sin embargo, cuando la síntesis, siempre produce advertencias:
[sintetizador 8-3331] el registro de diseño1 tiene el primer puerto desconectado
[sintetizador 8-3331] el registro de diseño1 tiene un puerto no conectado end_data
Aquí está el código:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity register1 is
port(
rst : in std_logic;
end_data : in std_logic;
clk : in std_logic;
D : in std_logic_vector(7 downto 0);
Q : out std_logic_vector(7 downto 0)
);
end entity register1;
architecture RTL of register1 is
begin
process(clk)
begin
if rising_edge(clk) then
if rst = '1' or end_data = '1' then
Q <= "UUUUUUUU";
else
Q <= D;
end if;
end if;
end process;
end architecture RTL;
No sé qué está mal. ¿Podría ser mi estilo de codificación?
Espero que ustedes me puedan ayudar. Ha sido muy irritante.