Preguntas con etiqueta 'intel-fpga'

2
respuestas

¿Qué elige la placa de desarrollo FPGA: Xilinx o Altera? [cerrado]

Soy muy nuevo en el mundo de la lógica programable y nunca he trabajado con ningún lenguaje HDL, pero ciertamente quiero comenzar con FPGA. En este momento, el objetivo es desarrollar / simular una CPU simple de 8 bits y tal vez (en el futuro) r...
1
respuesta

Quartus II ignorando el atributo de síntesis noprune

Hay un registro en mi diseño que estoy usando para propósitos de depuración con cero fan-out. Ya que no está impulsando ninguna lógica, el sintetizador lo optimiza. Sin embargo, según mi conocimiento, el uso del atributo noprune dirigirá el sint...
3
respuestas

Vea más de 100 rutas en el peor de los casos en Quartus II

Estoy utilizando Quartus II para compilar Verilog para mi proyecto FPGA. Para la depuración, uso SignalTap, que introduce muchas advertencias de tiempo. Cuando voy al informe TimeQuest, y veo las rutas de tiempo de peor caso, las 100 rutas más l...
1
respuesta

Verificación de la integridad del proyecto FPGA, PCIE y procesamiento de video

Tengo un Altera DE4 educación FPGA que yo me gusta usarlo para el procesamiento de video ... Pero la cosa no tiene muchos puertos con los que trabajar, y no tengo los fondos para comprar cualquier placa hija. Mi plan es conectar el FPGA a m...
2
respuestas

FPGA Enrutamiento criterios generales

Estaba implementando un circuito simple en un FPGA usando Quartus (6 elementos lógicos) y noté que el bloque que contiene las 6 celdas está ubicado cerca del perímetro. ¿Es este un criterio general? ¿La colocación en la frontera puede ayudar a m...
1
respuesta

¿Cómo reinicio una placa de desarrollo FPGA a su configuración de fábrica?

He programado un Altera board en el modo de configuración para que ejecute mi programa cuando se inicie. Ahora quiero volver a la configuración de fábrica. ¿Cómo hago eso?     
1
respuesta

Bloques de matriz lógica de memoria VS M20K

Estoy mirando el Altera Stratix V vista general Table 1 . En ella, distinguen dos tipos de recuerdos: bloques de memoria M20K Bloques de matriz lógica de memoria (MLAB) ¿Cuáles son las diferencias entre los dos tipos de memorias...
1
respuesta

¿Cómo puedo evitar las infracciones de "Ancho de pulso mínimo" en la síntesis de Quartus FPGA?

Estoy sintetizando una aplicación de juguete en DE2, pero tengo un problema de tiempo (a pesar de que todas las entradas y salidas están sincronizadas en mi diseño). Estas violaciones están relacionadas con el "ancho de pulso mínimo" ... ¿Cóm...
2
respuestas

núcleo de UART Altera De2

Tengo que implementar un receptor rs232 para mi proyecto. ¿Alguien tiene alguna idea de cómo empiezo a aprender / implementar esto? ¿Tengo que usar el software nios ii o simplemente implementarlo usando módulos verilog? enlace - La placa de...
1
respuesta

Altera Cyclone II JTAG después de la programación de AS

He estado jugando con FPGA (Cyclone II EP2C5T144C8) con Quartus II 13.0 WebEdition 64bit y Altera USB Blaster. Al principio, estaba usando el modo JTAG para programar FPGA en RAM. Cuando quise programar EEPROM (EPCS4), cambié al modo AS. D...