Preguntas con etiqueta 'intel-fpga'

2
respuestas

¿Cuál es el estándar de E / S para las líneas de datos PCIe?

Estoy ingresando la información de los pines de mi diseño de FPGA utilizando el PinPlanner de Altera Quartus II. Uno de los componentes de mi diseño es PCIe, y estoy teniendo problemas para entender el "estándar de E / S" asociado con los pines...
1
respuesta

La configuración del kit de inicio FPGA Cyclone II parece estar dando resultados falsos

He estado intentando que mi FPGA Cyclone II (del Starter Kit, EP2C20) funcione. Conseguí que el software Quartus II funcionara en mi configuración de Ubuntu y funcionó CASI: puedo escribir un Verilog, compilarlo y ponerlo en mi dispositivo a tra...
1
respuesta

Altera Quartus "Advertencia (18236): No se ha especificado el número de procesadores ...", ¿cómo suprimirlo?

Mis compilaciones de Altera Quartus muestran esta advertencia ... Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an...
1
respuesta

¿Por qué este código de Verilog no produce salida en mi FPGA?

Estoy tratando de aprender Verilog por mi cuenta utilizando los laboratorios de programas universitarios DE1-Soc y Altera. Estoy en el primer laboratorio y tratando de hacer un multiplexor de dos entradas de 4 bits de ancho. Escribí este código...
2
respuestas

FIFO wrfull afirmado cuando FIFO no está lleno

Tengo un problema con los FIFO de Altera. Parece que la señal completa wrfull se afirma incluso cuando el FIFO no está lleno. Mis FIFO son de tamaño 8. Las huellas de SignalTap a continuación muestran los niveles de lectura de mis FIFO (...
1
respuesta

Bus para cablear en quartus

A veces me encuentro con un problema con el Quartus de altera al que me gustaría una mejor solución. A veces utilizo la interfaz gráfica para el diseño y tengo un bus que me gustaría sacar solo una ruta a un bloque. Por lo general, creo un bloqu...
2
respuestas

¿Por qué los pines IO estarían atados a VCC o GND con una resistencia de 0 Ohm en la placa de desarrollo FPGA?

Tengo una placa de desarrollo Altera Cyclone II EP2C5T144C8 barata y algunos (4) de los pines IO / LVDS están cortocircuitados a VCC o GND como se muestra en el segmento esquemático a continuación. Los pines también se llevan a los encabezados e...
1
respuesta

¿Beneficios de usar Altera IP en los diseños FPGA?

Acabo de comenzar a usar Quartus para sintetizar un diseño VHDL que creé hace un tiempo. Dentro de este diseño hay cosas como DFF, decodificadores, etc. Noté que Altera tiene su propia IP con la misma funcionalidad. ¿Hay algún beneficio sign...
1
respuesta

Establecer la velocidad de conmutación en Quartus II

Según este documento , necesito: assign 0 MHz toggle rate to Toggle Rate assignments for the pin in the Assignment Editor para colocar un pin no diferencial cerca de uno diferencial. Sin embargo, cuando estoy en el Editor de asignaciones,...
1
respuesta

Intentando programar un FPGA. (Altera ciclón II)

Tengo la placa de desarrollo FPGA (Cyclone II EP2C20F484C7) y estoy tratando de implementar un programa de contador simple como se muestra a continuación (y tal vez lo vincule a los LED). module counter (clk, reset, enable, count); input clk,...