Preguntas con etiqueta 'intel-fpga'

1
respuesta

Comenzando con los FPGA de Altera

Estoy recibiendo un basado en Cyclone II Altera DE1 Tablero FPGA para experimentar. Sé mucho sobre programación, un poco sobre electrónica y muy poco sobre FPGA. ¿Qué libros u otros recursos recomendarías a alguien como yo para comenzar?     
3
respuestas

¿Cómo implementar de manera eficiente un solo impulso de salida desde una entrada larga en Altera?

Tengo un reloj rápido y un interruptor llamado "listo". Cuando se gira el interruptor (listo va ALTO), me gustaría que la salida pcEn produzca un pulso que dura solo un ciclo de reloj. pcEn solo emitirá otro pulso la próxima vez que esté listo H...
2
respuestas

Contador de codificador FPGA que se ejecuta aleatoriamente

Estoy programando un Altera FPGA usando Quartus II v9.0 para
1
respuesta

¿Los chips y controladores DDR2 tienen terminación en el troquel?

Voy a intentar conectar un chip DDR2 de 8 bits de baja velocidad con un FPGA, y tengo algunas preguntas cruciales para que funcione: ¿Es correcto que haya una terminación en el dado tanto en la memoria DDR2 como en los controladores (un Alter...
1
respuesta

Primitivas de sincronización de CDC para un FPGA de Altera

Estoy trabajando en mi primer diseño de FPGA no trival y, finalmente, necesito el Cruce de Dominio del Reloj (CDC). Hay son multiple resources ( entre others ) que analizan varias arquitecturas para CDC y algunas related preguntas ....
1
respuesta

Inferir RAM ALTERA de vhdl de HDL CODER

He generado vhdl desde Simple port RAM y Dual port RAM en Simulink e intenté sintetizar con Quartus 14 y 16 en Arria V y 10. La opción de permitir RAM para cualquier tamaño está ACTIVADA pero no entiendo por qué no es reconocido ¿Nunca has visto...
1
respuesta

¿Cómo hago uso de los multiplicadores para generar un sumador simple?

Estoy tratando de sintetizar un circuito de Altera usando la menor cantidad posible de elementos lógicos. Además, los multiplicadores integrados no cuentan en contra de los elementos lógicos, por lo que debería estar usándolos. Hasta ahora el ci...
1
respuesta

¿Cuáles son las características de jitter de los PLL internos a los FPGA de Stratix V?

Estoy interesado en conocer las características deterministas y aleatorias de las PLL internas a las FPGA Stratix V. He revisado el manual de Stratix V pero no pude encontrar números que cuantifiquen el jitter de su PLLs. ¿Cuáles son las ca...
1
respuesta

Controlador DRAM de Altera con UniPHY

Estoy tratando de trasladar un diseño de Xilinx a Altera, y tengo problemas con el controlador DRAM IP (para un Cyclone-V y un LPDDR2 mem). He logrado generar la IP, pero no entiendo qué reloj debería usarse para la interfaz Avalon-MM. He...
2
respuestas

Quartus II: ¿Dónde están las rutas en el peor de los casos?

En la configuración de Quartus II (bajo el analizador de tiempo de TimeQuest), he marcado la casilla de verificación Report worst-case paths during compilation . Sin embargo, no veo ninguna ruta en el peor de los casos en el informe Tim...