Preguntas con etiqueta 'intel-fpga'

3
respuestas

¿Cómo definir un reloj en Quartus II?

Tengo este pedazo de código aquí: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity first is port( a : in STD_LOGIC_VECTOR(3 downto 0); b : in STD_LOGIC_VECTOR(3 downto 0); result : out STD_LOGIC_VECTOR(3 downt...
1
respuesta

SocKit Cyclone V FPGA - tratando de usar la pantalla LCD de FPGA

Estoy tratando de usar la pantalla LCD en un tablero SocKit con un Cyclone V FPGA. Sin embargo, en la documentación veo que el chip está dividido en un HPS y el FPGA y el LCD parece estar conectado solo a la parte del HPS. ¿Es posible usar...
1
respuesta

Altera Quartus - ¿Cómo simulo una Entidad diferente?

He probado la primera entidad en mi proyecto con éxito. Ahora, cuando intento crear un archivo de forma de onda vectorial para mi segunda entidad, solo me permite agregar los pines de mi primera entidad. Establecí mi nueva entidad, la cual neces...
1
respuesta

¿Cómo restringir correctamente el reloj y el sincronizador generados en Altera Quartus?

En mi diseño Verilog tengo un reloj de tablero de 25Mhz del cual obtengo un reloj de 100Mhz. Procedente de un Pin externo, tengo un reloj asíncrono de 4.77 Mhz que debería controlar la lógica y sincronizarse antes (utilizando el reloj principal)...
1
respuesta

¿La primitiva del flip flop del FDCE en Altera Quartus?

Me gustaría saber cuál es el equivalente primitivo de altera quartus al flip flop FDCE en Xilinx ISE. Creo que la primitiva DFFE podría funcionar, pero no estoy seguro de la equivalencia de los pines CE y CLR. También el pin PRN me confunde. He...
0
respuestas

Error (209015): No se puede configurar el dispositivo. Se esperaba el código de identificación JTAG 0x020B10DD para el dispositivo 1, pero se encontró el código de identificación JTAG 0x000210DD

Compré en la placa de desarrollo ebera Altera Cyclone II EP2C5T144. Vino con USB Blaster. Estoy usando Quartus II 13.0sp1. El FPGA está programado con la configuración predeterminada como debería (los LED parpadean en el tablero). Parece que...
2
respuestas

Frecuencia máxima de FPGA: factor limitante

Me gustaría saber cuál puede limitar en general la frecuencia de reloj máxima de un circuito implementado en FPGA. En el caso específico, estoy creando algunos filtros FIR utilizando Quartus y simulándolos en un FPGA de la familia Cyclone II....
2
respuestas

Verilog: ¿es posible la conexión sin cables?

Lamento hacer esta pregunta, que creo que es muy básica, pero no puedo encontrar una respuesta. El siguiente ejemplo funciona claramente. Pero me gustaría omitir la declaración de los cables ay by. module sub(output reg y); endmodule module t...
5
respuestas

Altera DE2 interactuando con un sensor analógico

¿Los pines Altera GPIO pueden leer la salida analógica de un sensor de luz? La salida del sensor de luz es analógica y quiero que Altera encienda un LED cada vez que la señal del sensor sea mayor que algún valor específico. ¿Puedo hacerlo dir...
2
respuestas

Misterio del encabezado de expansión DE2

Hace poco recibí una placa Atera DE2 con un Cyclone II FPGA. He estado experimentando con los encabezados de expansión y tengo problemas para entender por qué la tarjeta de mi hija no recibe ningún poder. Mi DMM está leyendo 3.3 voltios (cuando...