Hace poco recibí una placa Atera DE2 con un Cyclone II FPGA. He estado experimentando con los encabezados de expansión y tengo problemas para entender por qué la tarjeta de mi hija no recibe ningún poder. Mi DMM está leyendo 3.3 voltios (cuando conecto el primer pin JP0 y los pines de tierra con el código lógico a continuación), sin embargo, el voltaje en realidad cae a algo así como .6V cuando está conectado. Si conecto el pin constante de 3,3 voltios VCC y GND, funciona sin problemas.
El código extremadamente básico:
library ieee;
use ieee.std_logic_1164.all;
entity expansion is
port(
a : in std_logic;
y : out std_logic
);
end entity expansion;
architecture when_else of expansion is
begin
y <= a;
end architecture when_else;
--Pin Assignment
a = PIN_N25
y = PIN_D25