Preguntas con etiqueta 'intel-fpga'

4
respuestas

Usando ambos bordes de un reloj

Estoy programando un Altera Cyclone IV usando Verilog y Quartus II. En mi diseño, me gustaría usar los dos bordes de un reloj para poder dividir el reloj por un factor impar con un ciclo de trabajo del 50%. Aquí hay un fragmento de mi código:...
4
respuestas

¿Por qué los FPGA tienen cierres cuando casi nunca se usan?

Esta pregunta es una pregunta de seguimiento de la pregunta existente: " Cuando se usan pestillos mejor que las chanclas en un fpga que admita a ambos ". Si el uso de pestillos en los FPGA se limita a situaciones más raras o no, ¿por qué los...
2
respuestas

¿Debo restablecer el diseño de mi FPGA después del inicio?

Por lo general, inicializo los registros de estado de mis FSM especificando un valor inicial en mi código VHDL, por lo que no necesito un pulso de restablecimiento después del inicio del FPGA configurado. El siguiente ejemplo demuestra esto con...
2
respuestas

Mejorar el tiempo parcial de compilación o recompilación de Quartus

Ejecuto Altera Quartus, y estoy usando el analizador lógico SignalTap en un Max 10 FPGA. La compilación demora diez minutos, y cada vez que me gustaría agregar una señal a SignalTap, tengo que volver a compilar. El botón de recompilación rápida...
2
respuestas

PCIe falla en estado de "cumplimiento de sondeo"

Estoy usando el bloque PCIe de Altera Cyclone IV FPGA, y tengo un problema por el cual la mitad de las ranuras PCIe que he probado (en tres computadoras diferentes) no funcionan. La depuración con SignalTap muestra que el LTSSM (Link Training...
2
respuestas

Especifique las ubicaciones exactas de los pines en FPGA

Tengo un FPGA de Altera Cyclone IV, y uso el software Quartus II como compilador. En el "PinPlanner" es posible especificar grupos de pines (por ejemplo, buses de datos). Para cada grupo, se puede especificar un banco de E / S y un estándar d...
3
respuestas

Use la antigua tabla Altera MAX II en un ambiente moderno

Hace años (en 2004) mi universidad obtuvo un Altera MAX- I Devboard , pero nadie lo usó. Ahora soy yo quien debe enseñar a los estudiantes la programación de FPGA, pero todavía no puedo programar la pizarra. Me enfrenté a los siguientes problem...
4
respuestas

¿Qué es un reloj de onda?

Estoy leyendo Capítulo 12. Prácticas de diseño recomendadas en el Quartus Manual II versión 13.1 Volumen 1: Diseño y síntesis que indica (pág. 8):    Los contadores de ondulación utilizan registros en cascada, en los que el pin de salida...
4
respuestas

Generando tren de pulsos de frecuencia variable en un FPGA

Estoy trabajando en la generación de un tren de pulsos para controlar un motor que acepta un tren de pulsos como entrada. Cada pulso corresponde a un incremento de movimiento preestablecido; Puedo establecer un pulso igual a 1/1000 grados (o lo...
1
respuesta

¿Qué hace el FPGA con los pines de E / S no referenciados?

Aquí hay una que me ha estado desconcertando por un tiempo. Tengo un diseño FPGA en Altera, manejando un chip de audio y programado a través de su interfaz serial. Monitoreo y depuro esto con un osciloscopio / SignalTAP. El chip está configur...