Preguntas con etiqueta 'intel-fpga'

2
respuestas

Medición del poder de Altera Dev. Kit (CycloneIII)

El kit de inicio Altera CycloneIII que tengo proporciona un puente (J6) que está conectado a VCCINT del núcleo FPGA CycloneIII para lo que parece ser el propósito de medir el consumo de energía del núcleo. Esta es la página de referencia del...
1
respuesta

¿Cómo funcionan los bucles en verilog? ¿Por qué no puedo lograr lo que quiero?

Este es mi código para un multiplexor simple de 2-1 8 bits, donde SW[17] es mi selector. Si está activado, muestra Y = SW[15:8] , si está desactivado, muestra X = SW[7:0] . module part2 (SW, LEDR, LEDG); input [17:0]...
1
respuesta

¿Qué son los retímeros?

Estoy trabajando con un núcleo 10G MAC IP de baja latencia para un Stratix V FPGA. Uno de los parámetros es "Modo de alto rendimiento". Los estados de la documentación    Cuando está habilitado, el modo de alto rendimiento habilita a todos lo...
2
respuestas

OpenCL para Altera FPGAs

Recientemente he estado bastante interesado en OpenCL de Khronos Group y ya he adquirido algunas experiencias con el idioma. Me emociona saber que OpenCL ahora funciona con Altera FPGA. enlace Para que los principiantes exploren la idea de...
1
respuesta

Especifique la ruta de inclusión en Quartus II

Estoy compilando Verilog usando el Quartus II para la plataforma Altera. En mi Verilog, tengo un encabezado Verilog global.vh , y Quartus II no puede encontrarlo: Error (10054): Verilog HDL File I/O error: can't open Verilog Design File...
2
respuestas

¿Cómo configurar mi editor favorito en Quartus?

Altera Quartus, como la mayoría de las otras herramientas EDA, permite a los usuarios usar su editor favorito, en lugar de los editores integrados. Para Quartus, esta función se puede activar en Herramientas > Opciones > Editor de texto p...
1
respuesta

Módulo Verilog del bloque de memoria Altera Cyclone IV

Este documento explica las diversas características de los bloques de memoria de Altera Cyclone IV (conocido como "M9K"). Sin embargo, no se menciona cómo estos módulos deben ser instanciados en Verilog. ¿Dónde puedo encontrar la documentac...
1
respuesta

¿Necesito comprar USB Blaster con DE0-Nano?

Moveré esta pregunta aquí a la pregunta por separado:    [DE0-Nano] [l] Ooks realmente bien, pero ¿necesito comprar el USB Blaster (u otro   programador) también? Por favor, explique el contexto en un estilo de principiante, ¿para qué e...
1
respuesta

Error de programación JTAG de Altera Cyclone II Quartus II

Estoy intentando programar un Cyclone II. Compré aquí utilizando Quartus II 13.0sp1 en Arch Linux. Estoy tratando de programarlo con un programa Verilog muy simple con tres entradas y dos salidas y algunas funciones lógicas simples. Seleccioné...
2
respuestas

¿Podemos ejecutar Quartus II en Ubuntu?

Puedo compilar componentes digitales y descargarlos en los tableros DE2 y DE2-115 que obtuve. Lo hago desde Windows 7 pero quiero habilitar esto en Ubuntu mientras que los archivos de Altera son para Red Hat Linux. He visto en el foro Altera q...