Error de programación JTAG de Altera Cyclone II Quartus II

3

Estoy intentando programar un Cyclone II. Compré aquí utilizando Quartus II 13.0sp1 en Arch Linux. Estoy tratando de programarlo con un programa Verilog muy simple con tres entradas y dos salidas y algunas funciones lógicas simples. Seleccioné el modelo adecuado (EP2C5T144C8), asigné los pines a las entradas / salidas, compilé y ejecuté el programador. Estoy usando un blaster USB JTAG. Cuando ejecuto jtagconfig , recibo el siguiente resultado

1) USB-Blaster [2-1.6]
    020B10DD   EP2C5

Sin embargo, cuando intento programar el archivo .sof creado por el compilador, recibo el siguiente error.

Error (209015): Can't configure device. Expected JTAG ID code 0x020B10DD for device 1, but found JTAG ID code 0x000310D9.

Si ejecuto el programador varias veces, a menudo obtengo valores diferentes para el código de ID que encontró. ¿Alguien sabe lo que está mal aquí?

    
pregunta Chris Loonam

1 respuesta

3

Resulta que la solución es similar a la que había visto en línea; Es un problema de alimentación. Obtener una fuente de alimentación adecuada de 5 V solucionó el problema, y el FPGA ahora es programable y está en pleno funcionamiento.

    
respondido por el Chris Loonam

Lea otras preguntas en las etiquetas