Preguntas con etiqueta 'cyclone'

2
respuestas

PCIe falla en estado de "cumplimiento de sondeo"

Estoy usando el bloque PCIe de Altera Cyclone IV FPGA, y tengo un problema por el cual la mitad de las ranuras PCIe que he probado (en tres computadoras diferentes) no funcionan. La depuración con SignalTap muestra que el LTSSM (Link Training...
1
respuesta

Altera: cambiar la velocidad del reloj JTAG

Tengo problemas con JTAG con mi Cyclone IV, específicamente el reloj JTAG. Estoy tratando de cambiar la frecuencia del reloj JTAG en algún lugar, pero no puedo encontrar dónde se hace en Quartus II. ¿Cómo puedo cambiar la frecuencia JTAG en Q...
1
respuesta

Altera Cyclone V - Manejo de interrupciones de Linux y FPGA

Necesito propagar una interrupción de mi núcleo de IP FPGA personalizado al sistema HPS de un DE0_nano_SoC (arquitectura del ciclón V HPS-FPGA) y manejar en Linux. He buscado bastante en Google para decir con confianza que este tema no está bien...
2
respuestas

Medición del poder de Altera Dev. Kit (CycloneIII)

El kit de inicio Altera CycloneIII que tengo proporciona un puente (J6) que está conectado a VCCINT del núcleo FPGA CycloneIII para lo que parece ser el propósito de medir el consumo de energía del núcleo. Esta es la página de referencia del...
2
respuestas

Para un multiplicador de reloj PLL, ¿de dónde viene el nuevo reloj?

Si lo comprendo correctamente, utiliza un PLL en un FPGA para obtener un reloj más alto desde, por ejemplo, un oscilador de 50 MHz al sincronizar el reloj más rápido con el de referencia más lento. Como si tuviera un cristal de 50MHz y quisiera...
1
respuesta

Módulo Verilog del bloque de memoria Altera Cyclone IV

Este documento explica las diversas características de los bloques de memoria de Altera Cyclone IV (conocido como "M9K"). Sin embargo, no se menciona cómo estos módulos deben ser instanciados en Verilog. ¿Dónde puedo encontrar la documentac...
1
respuesta

Error de programación JTAG de Altera Cyclone II Quartus II

Estoy intentando programar un Cyclone II. Compré aquí utilizando Quartus II 13.0sp1 en Arch Linux. Estoy tratando de programarlo con un programa Verilog muy simple con tres entradas y dos salidas y algunas funciones lógicas simples. Seleccioné...
1
respuesta

Posibles causas del ciclón IV muerto en una placa personalizada

Diseñé y soldé mi primera placa fpga usando el ciclón IV en el paquete de 144 eqfp. En primer lugar, cometí los siguientes errores: Estoy usando 3.3V VCCIO para todos los bancos IO. He leído mal el manual y pines TMS y TDI conectados en el V...
2
respuestas

Programación no volátil FPGA

Hace poco compré un FPGA Cyclone II aquí . He podido programarlo con un cable USB Blaster y el software Altera Quartus. El problema es que cuando desconecto la alimentación, pierdo el programa. ¿Cómo lo programo para que continúe su funcionamie...
2
respuestas

¿Por qué los pines IO estarían atados a VCC o GND con una resistencia de 0 Ohm en la placa de desarrollo FPGA?

Tengo una placa de desarrollo Altera Cyclone II EP2C5T144C8 barata y algunos (4) de los pines IO / LVDS están cortocircuitados a VCC o GND como se muestra en el segmento esquemático a continuación. Los pines también se llevan a los encabezados e...