Preguntas con etiqueta 'cyclone'

2
respuestas

¿Cómo abrir el terminal serial para mi convertidor de dispositivo USB (o cómo habilitar VCP en Linux)?

Estoy utilizando una nueva placa Cyclone V SoC de Enclustra ( Mercury + SA2 ) montados en sus Base de datos PE-1 . Para conectarme a la placa en serie en la plataforma Windows, tengo que conectar la placa que se detecta como USB Serial De...
2
respuestas

Pines de grupo de memoria externa Cyclone V DQ / DQS

Estoy intentando entender las funciones de los pines de memoria externa en el ciclón V (5csema5af31c6n) Entiendo que en la columna HMC Pin Assignment para DDR3 / DDR2 / LPDDR2 muestra las funciones de pin para la implementación de memoria extern...
1
respuesta

JTAG Diseño para altera cyclone 3

Estoy diseñando el JTAG para un Altera Cyclone 3 (EP3C5E144C8N). Solo estaba apuntando a JTAG normal, y no necesito Active Serial. He adjuntado el esquema y la placa en el archivo * .zip ( enlace ) O simplemente una instantánea aquí: ¿...
1
respuesta

CycloneIV PCIe IP dura hardclk_serdes generación

Estoy intentando crear un diseño mínimo con PCIe en CycloneIV y tengo problemas para que core_clk_out se ejecute realmente. En guía de usuario de PCIe , página 13-9, dice que fixedclk_serdes no puede derivarse de refclock...
1
respuesta

SocKit Cyclone V FPGA - tratando de usar la pantalla LCD de FPGA

Estoy tratando de usar la pantalla LCD en un tablero SocKit con un Cyclone V FPGA. Sin embargo, en la documentación veo que el chip está dividido en un HPS y el FPGA y el LCD parece estar conectado solo a la parte del HPS. ¿Es posible usar...
1
respuesta

¿La primitiva del flip flop del FDCE en Altera Quartus?

Me gustaría saber cuál es el equivalente primitivo de altera quartus al flip flop FDCE en Xilinx ISE. Creo que la primitiva DFFE podría funcionar, pero no estoy seguro de la equivalencia de los pines CE y CLR. También el pin PRN me confunde. He...
0
respuestas

Error (209015): No se puede configurar el dispositivo. Se esperaba el código de identificación JTAG 0x020B10DD para el dispositivo 1, pero se encontró el código de identificación JTAG 0x000210DD

Compré en la placa de desarrollo ebera Altera Cyclone II EP2C5T144. Vino con USB Blaster. Estoy usando Quartus II 13.0sp1. El FPGA está programado con la configuración predeterminada como debería (los LED parpadean en el tablero). Parece que...
2
respuestas

error VHDL: múltiples controladores constantes para red

No puedo encontrar la forma de lidiar con el error: "varias unidades constantes" que se producen cuando intento leer y configurar la misma red en un solo proceso. Necesito configurar la "salida" para algunos ciclos de reloj en el flanco ascen...
1
respuesta

Verilog que genera un bit específico del registro a la salida; obteniendo constantes de 1

Estoy tratando de crear un programa que toque un valor de un FPGA a un arduino. En el módulo que creé, cada dos ciclos de reloj, la salida de FPGAdata debería establecerse en el siguiente bit de t. El problema es que FPGAdata es igual a 1 todo e...
1
respuesta

Implementación de NIOS Softcore junto con módulos HDL en Aletra Cyclone IVGX

Mi pregunta no está en ' cómo puede ' sino en ' si puede '. Así que creo que las personas con suficiente experiencia en cualquier familia de FPGA podrían ayudarme aquí. Declaración de problema : necesito modelar un sistema de control muy bás...