Tengo este pedazo de código aquí:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity first is
port(
a : in STD_LOGIC_VECTOR(3 downto 0);
b : in STD_LOGIC_VECTOR(3 downto 0);
result : out STD_LOGIC_VECTOR(3 downto 0);
clk : in STD_LOGIC
);
end first;
architecture behavioral of first is
begin
process(clk)
begin
result <= a + b;
end process;
end behavioral;
En Quartus II, ¿cómo puedo decirle al software que quiero que 'clk' sea un reloj para poder averiguar la frecuencia máxima (Fmax) a la que se puede ejecutar este diseño? Cada vez que compilo mi diseño, aparece el mensaje "No hay relojes definidos en el diseño".