Preguntas con etiqueta 'quartus-ii'

4
respuestas

Generando tren de pulsos de frecuencia variable en un FPGA

Estoy trabajando en la generación de un tren de pulsos para controlar un motor que acepta un tren de pulsos como entrada. Cada pulso corresponde a un incremento de movimiento preestablecido; Puedo establecer un pulso igual a 1/1000 grados (o lo...
3
respuestas

Configurando pines FPGA como virtuales

Tengo un módulo Verilog para el cual quiero verificar su sincronización de forma aislada con respecto al resto del sistema. El problema es que el FPGA tiene un número limitado de pines físicos, y mi módulo tiene más bits de entrada que pines fís...
1
respuesta

Herramienta gratuita de linting para Verilog

¿Existe una herramienta de creación de líneas de código abierto para Verilog? He visto a un compañero de HDL y otros, pero todos vienen con una etiqueta de precio.     
3
respuestas

Altera FPGA I / O débiles pull ups

En la documentación FPGA de Altera, hacen referencia a una funcionalidad de "extracción débil de E / S". Me gustaría usar un pull up interno débil en lugar de pullups externos, evitando una modificación de PCB. Parece que es posible activa...
2
respuestas

Quartus II: Personalice los mensajes del compilador

Estoy trabajando con el compilador Altera Quartus II para mi Cyclone IV. No estoy satisfecho con lo que se considera Info , Warning , Error , etc. Por ejemplo, los cierres inferidos son Info , pero los quiero como W...
3
respuestas

¿Cómo implementar de manera eficiente un solo impulso de salida desde una entrada larga en Altera?

Tengo un reloj rápido y un interruptor llamado "listo". Cuando se gira el interruptor (listo va ALTO), me gustaría que la salida pcEn produzca un pulso que dura solo un ciclo de reloj. pcEn solo emitirá otro pulso la próxima vez que esté listo H...
2
respuestas

Contador de codificador FPGA que se ejecuta aleatoriamente

Estoy programando un Altera FPGA usando Quartus II v9.0 para
4
respuestas

Cómo acelerar la simulación de Modelsim

¿Cómo puedo hacer que Modelsim se ejecute más rápido para la simulación en lugar de ¿Algo en el rango de picosegundos (intervalo de tiempo)? Hay algun otro ¿Métodos para acelerar la simulación? Se tarda 45 minutos en llegar a 1 ms como de ahora...
1
respuesta

¿Cómo hago uso de los multiplicadores para generar un sumador simple?

Estoy tratando de sintetizar un circuito de Altera usando la menor cantidad posible de elementos lógicos. Además, los multiplicadores integrados no cuentan en contra de los elementos lógicos, por lo que debería estar usándolos. Hasta ahora el ci...
1
respuesta

Controlador DRAM de Altera con UniPHY

Estoy tratando de trasladar un diseño de Xilinx a Altera, y tengo problemas con el controlador DRAM IP (para un Cyclone-V y un LPDDR2 mem). He logrado generar la IP, pero no entiendo qué reloj debería usarse para la interfaz Avalon-MM. He...