Preguntas con etiqueta 'quartus-ii'

1
respuesta

El sistema Nios II generado por Qsys se ve horrible (todos los pines están a un lado). ¿Podemos hacer que se vea mejor?

He intentado Quartus 16.0 y 14.1. Ambos generan un sistema Nios II que se ve muy mal, como se muestra en la siguiente figura. ¿Hayalgunamaneradequeseveamejorcomolaversiónanterior,comosemuestraacontinuación?     
1
respuesta

Altera Quartus Design Assistant Advertencias críticas

Recibo varias advertencias críticas con respecto a lpm_ff y lpm_counter: A continuación hay algunos: Regla A102: la salida del registro no debe controlar su propia señal de control directamente oa través de la lógica combinacional - Estruc...
1
respuesta

Retardo de propagación

Para mi tesis de maestría, necesito medir el retraso de propagación de los módulos de mi implementación. Yo uso Quartus II para hacerlo. Mi objetivo es medir la ruta crítica de mis módulos. He leído muchos temas que dicen que necesito usar las h...
1
respuesta

Casos de prueba para un banco de pruebas de calculadora en VHDL

Acabo de anotar un núcleo de calculadora con VHDL que realmente hace una multiplicación, suma, una operación Xor y una operación AND y tengo que escribir un banco de pruebas que debería simular las cuatro funciones para todas las combinaciones p...
1
respuesta

visualización de siete segmentos hexadecimal verilog

Tengo un número de salida de 4 bits como salida. ¿Cómo se puede ver en la pantalla de siete segmentos como un número hexadecimal? Soy nuevo y menciono verilog. ejemplo de caso: wire [3:0] num; case (num) 4'b0000 : 1111110; 4'b0001 : 011...
1
respuesta

¿Es posible cambiar el tamaño de la cuadrícula en la ventana del esquema de Quartus II?

Parece ser una buena idea tener un esquema para la estructura de diseño de nivel superior. Tengo algunos símbolos esquemáticos que quiero editar. El nombre de la señal es demasiado largo y no aparece correctamente en el símbolo generado automáti...
1
respuesta

¿La megafunción de la ROM de Altera tiene un retraso de inicio?

Estoy tratando de hacer una CPU de un solo ciclo muy simple en VHDL. El código de mi máquina se almacena en una ROM creada por Altera MegaWizard. La primera palabra que se almacena en esta ROM es 0x1111. Después de escribir un banco de pruebas p...
2
respuestas

Procesar declaración en vhdl

Tengo una pregunta muy básica aquí. Cuando aprendí los procesos, se dijo que las declaraciones se producen de forma secuencial. Esto es lo que creía. En el archivo de imagen del proceso NCO, hay pruebas de ello. fword se asigna con un valor...
1
respuesta

puente AXI de HPS a FPGA De1-soc

Trabajo en DE1-Soc. Estoy usando un BSP de Linux (consola de Linux) que encontré en la imagen de Linux del sitio web de terasic. Tengo algunas preguntas sobre el puente AXI. De hecho, me gustaría enviar algunos datos del hps al fpga a través...
1
respuesta

¿Por qué crear errores al conectar los temporizadores en Quartus ii?

Uso el IDE de Nios 2 de Altera con el Altera DE2. Agrego un archivo Functions.c con código que necesita un temporizador, por ejemplo, int main () { int w[8192]; int a, b; int size = M; printf("Working Set\n\n"); printf("Info...