Preguntas con etiqueta 'quartus-ii'

1
respuesta

Altera Quartus - ¿Cómo simulo una Entidad diferente?

He probado la primera entidad en mi proyecto con éxito. Ahora, cuando intento crear un archivo de forma de onda vectorial para mi segunda entidad, solo me permite agregar los pines de mi primera entidad. Establecí mi nueva entidad, la cual neces...
3
respuestas

¿Se puede crear una instancia de RAM con 2 puertos de lectura y 1 puerto de escritura como IP en Quartus?

Como parte del diseño MIPS, tenemos algo llamado archivo de registro. Solo tiene 32 registros cada 32 bits que solo hacen 1024 bits o 128 bytes. No estoy seguro de cómo decirle a Quartus que ejemplifique esto como un bloque de memoria con 2 puer...
2
respuestas

¿Hay diseños USB libres y abiertos?

Quiero aprender USB y su interfaz de hardware y comenzar con un diseño trivial. Encontré algunos VHDL en github para el ISP1362 y me pregunto si es un buen punto de partida. Ya he usado Quartus para diseñar otro hardware para FPGA que puedo de...
1
respuesta

TimeQuest Timing Analyzer: ¿Cuál es la diferencia entre las listas de red de ajuste posterior y de sincronización de mapa posterior?

Cuando deseamos agregar restricciones de tiempo a nuestro diseño en TimeQuest Timing Analyzer, tenemos dos opciones. Podemos utilizar una lista de redes de ajuste posterior o una lista de redes de mapa de publicaciones. La lista de redes del map...
2
respuestas

¿Cómo leer el firmware del FPGA de Altera (Cyclone IV) con USB Blaster?

Estoy empezando a investigar el FPGA Cyclone IV de Altera para usar en mis proyectos. Ahora tomé prestado de una compañía vecina un dispositivo real con USB Baster Rev.C. Trataré de usar uno en lugar de un panel de evaluación que no tengo por el...
1
respuesta

No puedo sintetizar mi VHDL en Qsys

Estoy intentando crear un módulo con VHDL para mi DE2 donde lo fácil ("Hola mundo") es casi imposible. El fundamento es que estoy tratando de ejecutar Hello World: enlace enlace Y ahora estoy siguiendo la instrucción que no funciona...
1
respuesta

¿Cómo actualizar un proyecto de Quartus II de SOPC a QSys?

No entiendo mis errores en QSys, ¿puedes ayudarme? Estoy tratando de pasar por este ejercicio: enlace En Qsys, cuando conecto los componentes, aparece el siguiente error quejándose de las conexiones que las instrucciones no dicen cómo reali...
1
respuesta

Configurar el simulador de Quartus 2 para que se ejecute por más tiempo

Mi simulación en Quartus 2 termina en 1uS. ¿Cómo puedo hacer que continúe por más tiempo? Esto se debe a que mi prueba no ha terminado en este punto, por lo que no puedo saber si mi parte es exitosa o no con grandes números.     
1
respuesta

¿Cómo controlar si aparece un punto de conexión en el editor de diagrama de bloques de Quartus Prime?

Estoy utilizando Quartus Prime 16.0.2 para dibujar un diagrama de bloques. Conecto diferentes componentes con el conector de nodo (en el cuadro rojo a continuación): No me queda claro por qué a veces aparece un punto conector y otras no...
1
respuesta

Verilog que genera un bit específico del registro a la salida; obteniendo constantes de 1

Estoy tratando de crear un programa que toque un valor de un FPGA a un arduino. En el módulo que creé, cada dos ciclos de reloj, la salida de FPGAdata debería establecerse en el siguiente bit de t. El problema es que FPGAdata es igual a 1 todo e...