Preguntas con etiqueta 'vivado'

2
respuestas

Diseño de salida HDMI (ADV7511) en Zynq zc702

Soy relativamente nuevo en sistemas integrados, así que perdona mi ignorancia. Estoy intentando construir un diseño de hardware en Vivado que admita la salida de consola en HDMI, usando el Zynq ZC702 que ejecuta PetaLinux, y basado en el diseño...
1
respuesta

¿Se optimizará BUFGCE a FDCE?

Para una implementación lógica simple de la sincronización de reloj usé BUFGCE. Cuando pasé por los esquemas noté que la lógica se implementa utilizando FDCE. Pero no se usan CE de FDCE. Mi pregunta es por qué BUFGCE no se optimizó utilizando CE...
1
respuesta

¿Por qué Vivado crea dos muxes de esta declaración de caso de Verilog?

Este es el código: always @(*) case(NormalCtrl[7:6]) 2'd0: TrigA = 0; 2'd1: TrigA = TrigAA; 2'd2: TrigA = TrigBA; default: TrigA = TrigA; endcase Este es el esquema RTL resultante (Vivado 2015.1):     
1
respuesta

¿Cómo generar BRAM usando vivado HLS?

Estoy intentando crear una IP usando Vivado HLS. Tengo muchos arreglos. He dado directivas para inferir BRAM, con mi matriz bram_arr como #pragma HLS_RESOURCE variable=bram_arr core=RAM_1P_BRAM set_directive_interface -mode bram "bram_init"...
2
respuestas

Cómo multiplicar el reloj del sistema base usando restricciones .xdc en Vivado

Esta pregunta puede ser ridículamente rudimentaria pero he estado revisando las guías y videos disponibles de Xilinx arrancándome el cabello ... mi el problema es simplemente este: quiero usar el reloj base de 100Mhz en mi tarjeta de DDR nexys4...
1
respuesta

Xilinx Vivado: [Common 17-53] Excepción del usuario: No se puede iniciar la ejecución de síntesis. No se encontraron fuentes de Verilog o VHDL en el proyecto

Tengo un proyecto de vivado que contiene un núcleo IP de Xilinx. Se generó un script tcl para este proyecto y contiene enlaces a la fuente principal de IP. La secuencia de comandos .tcl y los archivos de origen IP (archivos xml, xci y veo) se ha...
1
respuesta

Accediendo a todos los datos y almacenándolos por cable usando BLOCK RAM GENERATOR en Vivado usando verilog

He creado un generador de ram de bloque (ROM de un solo puerto) en vivado usando un archivo coe en verilog. Soy capaz de leer los valores uno por vez utilizando una instrucción continua (capaz de crear una instancia del bloque ROM una vez por pu...
2
respuestas

Ruta crítica para el bloque combinacional

Estoy tratando de sintetizar un bloque lógico combinacional en verilog usando Xilinx Vivado. Estoy tratando de reducir el número de cortes y aún intento encontrar la ruta crítica del circuito. Muchas soluciones indican que el análisis de tiem...
1
respuesta

¿Necesita ayuda con la eliminación parcial del elemento secuencial del módulo, incluso si estoy usando completamente [cerrado]

Tengo un módulo simple que divide filas entre diferentes motores. En este módulo, row_start_r es un registro que es un contador que omite la cuenta por un desplazamiento específico y, finalmente, se escribe en un FIFO. El siguiente código funcio...
1
respuesta

Xilinx programando FPGA desde SPI Flash sin JTAG

Estoy tratando de poder configurar mi FPGA cargando la configuración en la memoria flash. Soy capaz de escribir en el flash SPI a través de una interfaz Ethernet, por lo que creo que sería posible escribir el flujo de bits en el flash a través d...