Preguntas con etiqueta 'vivado'

1
respuesta

Xilinx ILA para comenzar a grabar después de los ciclos de reloj de Triger + Xnum

Estoy tratando de depurar mi sistema con el analizador lógico integrado Xilinx y solo hay un número limitado de muestras (131,072) que se pueden capturar después de la señal de activación, mientras que necesito unas 350,000 para llegar al lugar...
1
respuesta

retardo válido en AXIS

Estoy tratando de alimentar la onda del coseno del compilador DDS al multiplicador y multiplicar por otra onda del coseno. La salida del multiplicador luego se alimenta a un compilador CIC como se muestra a continuación. Heconectadodirectamente...
1
respuesta

Vivado Artix-7 Ignorando mi código

Soy nuevo en este sitio, así que discúlpeme si mi pregunta no es normal o un poco tonta. Sin embargo, estoy teniendo una situación extraña en la que Vivado 2015.4 parece estar optimizando mi código y eliminando partes del mismo que considera que...
1
respuesta

Cambiar el reloj PL

Estoy diseñando mi proyecto en Vivado y tuve un WNS (Peor Slack negativo) de -2.67 ns (mi reloj PL era 200Mhz). Tuve algunos problemas al ejecutar mi diseño, ya que los resultados fueron buenos a veces y malos en otras, por lo que pensé que p...
1
respuesta

Xilinx ap_axiu parámetros

Estoy usando ap_axiu de ap_axi_sdata.h en Vivado HLS como lo vi en algún ejemplo para transmitir datos a través del AXI DMA. Estoy definiendo mi valor de esta manera: typedef ap_axiu<32,4,5,5> AXI_VALUE; Sin embargo, y aunque puedo...
1
respuesta

restricción de Vivado LOC a través del código Verilog

Estoy tratando de establecer una restricción LOC mientras se especifica en el código verilog (a través del atributo verilog). Investigaciones anteriores en Internet dieron razones para pensar que este tipo de construcción debería funcionar: //...
0
respuestas

Valor del registro que va a alta impedancia después del restablecimiento en la simulación posterior a la síntesis (Vivado 2016.4)

En la simulación posterior a la síntesis, el valor del registro "estado" es '0' cuando la señal de reinicio es '1'. Pero, cuando la señal de reinicio llega a cero, el valor del registro "estado" va a una impedancia alta. El registro "estado" se...
1
respuesta

simulación de Vivado atascada a 0 fs

Estoy intentando simular un flip flop D usando Vivado 2018.2.2. Pero al ejecutar la simulación, aparece una ventana que indica la hora actual: 0 fs. El programa no se congela, simplemente no progresa. Aquí está el código: LIBRARY IEEE; USE IEE...
1
respuesta

Error de sintaxis al seleccionar un rango de un rango

Estoy intentando ejecutar un viejo proyecto de minería de Bitcoin en Vivado. El código antiguo está escrito en Verilog y el resto de mi proyecto está en System Verilog. Tengo un error de sintaxis que no sé cómo resolver. Básicamente se reduce...
1
respuesta

diseño de ram síncrono de puerto único 32x32 usando verilog in vivado hls

en el código verilog a continuación: module mem_try( clk, addr, data_in, rd, wr, data_out ); parameter addr_length=32,data_width=32,ram_depth= 1 << addr_length; input [data_width-1:0...