Preguntas con etiqueta 'vivado'

1
respuesta

¡El bloque inicial es tangible!

Encontré muchas publicaciones que dicen que el bloqueo inicial no se puede sintetizar en Verilog HDL . Incluso seguí la referencia estándar ( enlace ). Personalmente uso restablecer para inicializar cualquier contenido en bloques de memo...
6
respuestas

VHDL - ¿Cuándo es un bloque de proceso demasiado largo? [cerrado]

Hay un gran libro gratuito (gratis y libre) de VHDL llamado VHDL de alcance libre que es un arranque rápido. Como neófito, estoy teniendo dificultades para juzgar las reglas de oro relativas cuando se trata de bloques de proceso. Del libro:...
2
respuestas

VHDL: Demultiplexar una señal a una de las muchas salidas mientras se conducen las salidas no utilizadas a '0'

Estoy intentando crear VHDL sintetizable que demultiplexará un flujo de señal continuo de un bit en una de las muchas salidas. Las salidas que no se están enviando esta secuencia deben establecerse en '0'. Vea la imagen a continuación para obten...
1
respuesta

¿Cuál es la mejor manera de controlar los proyectos de Xilinx PlanAhead?

En realidad, estoy migrando algunos proyectos maduros de Xilinx ISE a Xilinx PlanAhead. Necesito aprovechar las secuencias de comandos TCL y la partición de PlanAhead. Estos proyectos ISE están bajo control de versión en un repositorio SubVer...
2
respuestas

controlador VGA no funciona

En este momento estoy tratando de hacer un controlador vga para mi FPGA, pero algo no va bien, y parece que no puedo descubrir qué está saliendo mal ... El código se basa en este ejemplo de código: Controlador VGA de ejemplo Aquí está mi...
1
respuesta

¿cuál es la diferencia entre ISE y Vivado? [cerrado]

Soy ingeniero de xilinx ISE y virtex 5. Encontré algo en Vivado cuando corrí por internet. ¿Cuál es la diferencia entre ISE y Vivado? ¿Debo mudarme a Vivado desde ISE?     
1
respuesta

Cómo asignar IP personalizada al pin de salida en FPGA

Tengo una IP personalizada creada con 2 pines de salida (en1_out y dir1_out) ¿Puedo saber cómo asignar estos dos pin al pin PMod en FPGA (pin Y11 y pin AA11)? He intentado abrir el diseño elaborado y en los puertos de E / S no puedo encont...
1
respuesta

¿Por qué aparece una advertencia "[Synth 8-5413] Mezcla de control síncrono y asíncrono para el registro" en Vivado?

El código siguiente es tomar el recíproco de un número de punto fijo utilizando el método de Newton. Cuando se afirma start , la máquina de estado entra en el estado de estimación . Para obtener un punto de partida, comienzo en 1/2 ^ N, d...
1
respuesta

¿Generando video con ZYNQ, usando el diseño de bloqueo de IP?

Estoy tratando de implementar un transmisor de video en la placa ZYBO de Digilent que tiene Xilinx ZYNQ 7010. Por cierto, la razón de esto es probar la calidad de una placa codificadora. Lo que quiero es: Genere un bloque RAM para almacena...
1
respuesta

¿Cómo acceder a las instancias generadas systemverilog y Vivado 2014.1?

Generéinstanciasusandoelsiguientecódigo: Intento acceder a ellos de esa manera: R [0] .router3d.address = 0; // por ejemplo La simulación salió bien Pero el código no se puede sintetizar con el siguiente error: No se puede resolver el nomb...