Preguntas con etiqueta 'vhdl'

0
respuestas

el banco de pruebas VHDL no emite / imprime advertencias por violaciones de tiempo

Aquí está mi situación: quiero simular un contador de 11 bits preestablecido formado por tres contadores binarios CD74AC161 chips. También quiero que la simulación detecte violaciones de tiempo (tiempo de configuración, tiempo de espera, etc.)...
1
respuesta

simulación de Vivado atascada a 0 fs

Estoy intentando simular un flip flop D usando Vivado 2018.2.2. Pero al ejecutar la simulación, aparece una ventana que indica la hora actual: 0 fs. El programa no se congela, simplemente no progresa. Aquí está el código: LIBRARY IEEE; USE IEE...
0
respuestas

Archivo de restricciones para periféricos

Estoy tratando de conectar un sensor de movimiento PIR a mi placa FPEG Elbert V2 Spartan 3A y luego tengo que activar un LED cuando se activa el PIR. El PIR está conectado a GPIO 1. Aunque el código se compila (lo descarté para la depuración), m...
1
respuesta

Activar componentes uno tras otro

Soy nuevo con el código vhdl. Tengo tres (y) componentes y un componente (elector). No quiero activar esos (y) componentes de una vez, quiero activar el primero entonces, cuando tengo la respuesta del primer (y) componente, activo el segundo y c...
0
respuestas

FPGA / VHDL: señales de entrada de bifurcación

Tenía curiosidad si es posible, o si hay algún daño, podría ser una mejor pregunta, ¿bifurcar una señal de entrada dentro de un diseño de FPGA para múltiples usos? Lo que estaba buscando hacer en mi diseño es esto: Tengo una connection_input...
0
respuestas

vhdl testbench tipo de datos confusión

Estoy tratando de simular el XADC en vivado Tengo mi código de banco de pruebas aquí library IEEE; use ieee.numeric_std.all; use IEEE.STD_LOGIC_1164.ALL; use std.textio.all; entity test_design_1 is end test_design_1; architecture TB of...
0
respuestas

Verilog localparam como cadena en vhdl?

Encontré la siguiente declaración en un módulo de verilog: localparam str2=" Display Demo ", str2len=16; Me parece que str2 es un valor de cadena, pero me pregunto cómo se procesa esto en el siguiente fragmento de código. always@(write_...
1
respuesta

Error (suprimible): (vsim-3601) Límite de iteración Quartus

He creado una simulación de un registro de 4 bits en quartus. Cada una de las cuatro chancletas D prueba bien por sí mismas, pero cuando pruebo 4 de ellas conectadas juntas en un registro, obtengo el "Error (suprimible): (vsim-3601) Límite de it...
1
respuesta

Establecer entrada baja o alta en Quartus

He creado un registro de 4 bits en VHDL, dentro de Quartus. Normalmente, conecto cada una de mis entradas a uno de los pines del interruptor DIP o pines de botón pulsador en el "planificador de pines" para mi placa de desarrollo particular. A ve...
1
respuesta

Negative Edge Trigger and Asynchronous Clear no funciona en ModelSim

He creado un contador de 4 bits con las siguientes entradas y salidas relojN: reloj bajo activo clearN: active low clear cP: Cuando está alto, el contador cuenta. Cuando está bajo, el contador permanece igual. eP: activa alta. Esto a...