Preguntas con etiqueta 'vhdl'

0
respuestas

Convertidor ADC de doble pendiente VHDL

Hola, estoy tratando de escribir un código para ADC de doble pendiente. Y no estoy seguro de si mi lógica es incorrecta o si hay algo más que no funciona. LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_...
1
respuesta

Nuevo en FPGA y necesita ayuda para hacer una calculadora

Tengo una tarea en mis manos para programar un FPGA que puede calcular un cierto valor de función cuando se le da X e Y entre 1 y 10. La función en cuestión es 3 * X ^ 2 + 300 * Y. He escrito algún tipo de código que compila. Cuando programo...
0
respuestas

Se creó una palabra de 16 bits: elemento de memoria de 2kB en vhdl, ¿están mal los cierres inferidos aquí?

Aquí está mi código que usé para crear un elemento de memoria de palabras de 16kB de 16 bits en vhdl library std; use std.standard.all; library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity memory is p...
1
respuesta

Implementando un contador simple usando VHDL

Hola, estoy intentando implementar un contador con control externo. Soy un poco nuevo en VHDL y sigo recibiendo un error de sintaxis para el siguiente código. ¿Puede alguien ayudarme a entender por qué hay un error aquí? library IEEE; use IEEE...
1
respuesta

¿Cómo mostrar los números en la pantalla VGA en VHDL?

Tengo un código para mostrar el bloque en la pantalla VGA pero quiero mostrar los números en él. Tengo una pequeña idea de cómo diseñarlo, pero programáticamente, estoy teniendo dificultades. Puedo definirlo como una constante en términos de núm...
1
respuesta

Uso de VHDL con el Mojo V3 FPGA

Me preguntaba si hay alguna manera de escribir código VHDL, y luego puedo cargarlo en la placa Mojo V3. El IDE de Mojo V3 es compatible con Verilog / Lucid, ambos idiomas con los que no estoy familiarizado. Gracias de antemano.     
1
respuesta

Creando DNF con variable en la columna de salida

Estoy intentando modelar un Árbitro en VHDL. Para esto he creado un autómata de Moore y actualmente estoy mapeando la salida. La salida debe ser cuando se asigna desde el estado: z1 z0 | y 0 0 | 0000 0 1 | inB 1 0 | inA 1 1 | inA...
0
respuestas

Agregar un número de 1 bit a un número mayor

He escrito el código vhdl para el sumador Brent Kung de 8 bits. Funciona bien y agrega dos números de 8 bits a y b. Desafortunadamente, el valor de acarreo cuando es 1, el método Brent kung no aborda esto. Tengo que implementar el sumador de 40...
1
respuesta

¿cuántas señales observables bajas tenemos en los puntos de referencia de las iscas?

¿Cuántas señales observables bajas en los puntos de referencia ISCAS tenemos? Por ejemplo, en c17, c432, .... Quiero decir, en un circuito hay algunas señales que no las vemos, por ejemplo, el 99% de las veces en la salida, y las vemos solo por...
0
respuestas

declaración concurrente ilegal

Realmente no tengo idea de por qué este código es incorrecto. ** Error: F:/midterm night/assg 3/mem/mux8_1.vhd(12): Illegal concurrent statement. ** Error: F:/midterm night/assg 3/mem/mux8_1.vhd(22): VHDL Compiler exiting library IEEE; use...