Establecer entrada baja o alta en Quartus

0

He creado un registro de 4 bits en VHDL, dentro de Quartus. Normalmente, conecto cada una de mis entradas a uno de los pines del interruptor DIP o pines de botón pulsador en el "planificador de pines" para mi placa de desarrollo particular. A veces tengo una lógica de entrada que, para fines de prueba, siempre debe ser alta o baja. En el ejemplo a continuación, la entrada clearN (activa baja) debe mantenerse simplemente alta sin perder uno de mis pines de inmersión. ¿Hay algún pin al que pueda conectarlo que simplemente proporcione VCC o tierra dependiendo de lo que quiera?

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY REG_4_MAR_SAP_1 IS 

    GENERIC(
    size: INTEGER:=3);

    PORT(
    LmN, Clk, clearN: IN STD_LOGIC;
    D: IN STD_LOGIC_VECTOR(size DOWNTO 0);
    Q: OUT STD_LOGIC_VECTOR(size DOWNTO 0));

END REG_4_MAR_SAP_1;

ARCHITECTURE Structural_REG_4_MAR_SAP_1 OF REG_4_MAR_SAP_1 IS

    Signal E: STD_LOGIC;


    COMPONENT D_FF_W_ENABLE_CLEAR PORT(
        D, E, ClearN, Clk: IN STD_LOGIC;
        Q: BUFFER STD_LOGIC);
    END COMPONENT;

    COMPONENT NOT_1 PORT(
        In0: IN STD_LOGIC;
        Out0: OUT STD_LOGIC);
    END COMPONENT;


BEGIN

    Reg4: FOR k IN size DOWNTO 0 GENERATE
        FlipFlop: D_FF_W_ENABLE_CLEAR PORT MAP(D(k), E, ClearN, clk, Q(k));
    END GENERATE Reg4;

    U1: NOT_1 PORT MAP(LmN, E);

END Structural_REG_4_MAR_SAP_1;
    
pregunta BPoy

1 respuesta

0

Si desarrolla un dispositivo real, entonces, si ya sabe que alguna señal está siempre vinculada al nivel específico, simplemente quítela de los pines de entrada y asigne a esta señal el nivel dentro del diseño.

Si realiza una simulación, puede asignar un nivel específico estable al pin de entrada del puerto utilizando la herramienta de simulación.

    
respondido por el Anonymous

Lea otras preguntas en las etiquetas