Preguntas con etiqueta 'verilog'

2
respuestas

¿Cómo asignar valor a un puerto bidireccional en verilog?

Estoy tratando de usar un puerto bidireccional en Verilog para poder enviar datos de recepción a través de él. Mi problema es que cuando intento asignar un valor al puerto dentro de una tarea, pero sigo recibiendo un error. ¿Cuál es la forma...
1
respuesta

¿Cuál es la diferencia entre una matriz y un bus en Verilog?

He estado aprendiendo Verilog y Vivado en la escuela, y ahora estoy muy confundido por el uso de autobuses y matrices. ¿Alguien puede aclarar lo siguiente? ¿Cuál es la diferencia entre una matriz y un bus? Durante la declaración, un bus s...
1
respuesta

Concatenar la señal n veces en Verilog

Dada una señal wire [7:0] dummy , ¿cómo puedo concatenarla n veces? Es decir, ¿hay una notación para lo siguiente: {dummy, ..., dummy} // n times ?     
1
respuesta

Quiero implementar una ecuación matemática en FPGA, ¿debo describir una CPU o puedo hacerlo solo por código?

Para un proyecto escolar, estoy tratando de implementar una ecuación, por ejemplo: ( EDIT ) B = ((A + 2) * |A - 10|) / (c * c) todo es valores binarios sin signo, valores absolutos siempre. La ecuación debe evaluarse 57600 veces por segundo...
3
respuestas

¿Cómo puedo generar un archivo de imagen de diagrama de bloques esquemático de verilog?

Quiero crear un esquema de una jerarquía de módulos verilog específica que muestre qué bloques están conectados a qué otros bloques. Al igual que la herramienta nschema Debussy / Verdi de Novas, o cualquiera de una serie de herramientas EDA qu...
1
respuesta

¿Qué marcos de modelado de transacción y de vinculación de paquetes existen?

Estamos estudiando el modelado y la verificación de un protocolo simple de coherencia de tejido de malla para verificar la simulación RTL. En el pasado, hemos desarrollado completamente nuestra propia solución para escuchar paquetes en la RTL y...
1
respuesta

volcado de paquetes Ethernet en la memoria FPGA dañada

Soy un principiante en la programación de Verilog y FPGA. Mi experiencia es el desarrollo de software C / C ++.   Estoy desarrollando un módulo FPGA que básicamente lee los paquetes de la red Ethernet y luego interactúa con un proceso que se eje...
1
respuesta

Evitar que se optimice el módulo Verilog

He intentado poner muchos inversores para realizar pruebas de estrés en mi fuente de alimentación Spartan 6 según lo recomendado aquí . Aquí está el módulo básico: module inverter( input wire clk ); reg [7:0] inverted; always @(posedge...
1
respuesta

Pin de E / S bidireccional en verilog

Estoy queriendo eventualmente conectar alguna memoria a mi fpga. Esto requerirá pines en el fpga que pueden leer datos y escribir la salida al ram. Todavía estoy muy lejos de hacer algo de eso, pero como ejercicio de aprendizaje quería hacer...
2
respuestas

verilog al bloque esquemático

¿Existe alguna herramienta en Linux que convierta el código vhdl / verilog en un bloque esquemático equivalente? Conozco las herramientas disponibles     * Sinplicidad     * Synopsys Design Compiler     * Altera Quartus II     * Xilinx ISE...