Preguntas con etiqueta 'verilog'

1
respuesta

Salida coregen mínima redistribuible para reconstrucciones de línea de comandos

Estoy creando un SoC con mi propio soft-core, y quiero que la gente pueda reconstruirlo fácilmente utilizando las herramientas de línea de comandos de Xilinx webpack. Estoy usando el Wizard de coregen para crear un módulo de reloj, pero la salid...
1
respuesta

Generar un reloj de 100 Hz desde un reloj de 50 MHz en Verilog

Tengo una placa DE0 con un reloj de 50 Mhz que estoy intentando reducir a 100 Hz en Verilog. ¿Podría alguien ayudarme con el código para hacer esto?     
4
respuestas

¿Cuál es el propósito de la simulación previa a la síntesis?

He utilizado Verilog para desarrollar RTL de circuitos digitales sintetizables, y recientemente he estado usando Verilator para ejecutar simulaciones de estos. Mi comprensión de la semántica de Verilog, por lo tanto, se basa en cómo funciona...
2
respuestas

¿Este código creará un pestillo?

¿Eso creará un pestillo? always @ (posedge clk) begin if (enable) begin myvar1[63:0] <= some value; myvar2[63:0] <=some value2; myvar3[63:0] <= value3; end else begin myvar1[63:0] <=othervalue end end ¿Debería to...
3
respuestas

Bloques de procedimiento en verilog

Tenemos dos tipos de bloques de procedimiento en verilog: initial y always block. Las sentencias dentro de estos bloques se ejecutan secuencialmente. ¿Eso afecta el tiempo de estas señales? Por ejemplo, en el siguiente código: in...
3
respuestas

Configurando pines FPGA como virtuales

Tengo un módulo Verilog para el cual quiero verificar su sincronización de forma aislada con respecto al resto del sistema. El problema es que el FPGA tiene un número limitado de pines físicos, y mi módulo tiene más bits de entrada que pines fís...
4
respuestas

Generar puerta con un número parametrizado de entradas

Estoy intentando generar una puerta de entrada múltiple para la cual se pueden seleccionar las entradas cuando se elabora el diseño. Déjame dar un ejemplo para (con suerte) aclarar esto: module selectable_xor(input [7:0] in, output out); p...
2
respuestas

¿Cómo leer datos hexadecimales de un archivo de texto y escribir en la memoria en verilog?

Tengo un archivo de texto llamado "Hex_data.txt". Quiero cargar el contenido de Hex_data.txt en una RAM de nombre variable en verilog. Cuando intento esto, aparece un error que indica que no se puede encontrar el archivo de texto. ¿De dónde se c...
3
respuestas

¿Cómo puede el número de ciclos de reloj requeridos para completar una instrucción en un procesador canalizado menos que la latencia de la tubería?

No soy nuevo en arquitectura de computadoras, pero solo tengo experiencia académica con la implementación de microarquitecturas. He escuchado y leído esto muchas veces, pero nunca me molesté en comprender la afirmación: Algunas instrucciones...
1
respuesta

¿Cómo defino un módulo con un parámetro modificado en Verilog?

Quiero definir un módulo add que tenga un parámetro modificado en mi declaración de la nueva instancia, pero no va bien. Está en Verilog. Quiero definir una instancia de este módulo: module add #(parameter wd=1) (input wire [wd-1:0]...