Preguntas con etiqueta 'verilog'

2
respuestas

Inicializar correctamente un registro de desplazamiento (Verilog)

He estado luchando con un programa Verilog muy simple. Es un registro de desplazamiento de 4 bits que se gira en cada ciclo de reloj y controla cuatro LED. (Como puede ver, soy nuevo en los FPAG y HDL). El problema : debajo del código se sin...
2
respuestas

Construyendo un framebuffer

Estoy tratando de construir un framebuffer usando un FPGA y una memoria externa. Tengo una CPU de núcleo suave que se ejecuta en el FPGA y también una pequeña parte de la lógica para enviar señales a una pantalla LCD. Mi objetivo es hacer que la...
1
respuesta

Por favor, explique la siguiente constante entera usada en verilog

La constante entera en verilog especificada como "valor base de tamaño". 8'h81 : Creo que en este 8 es el tamaño h (hexadecimal) 81 (valor). Pero estoy confundido, tiene 8 tallas. 81 es un número hexadecimal de 2 dígitos, su tamaño debe...
1
respuesta

Error de simulación de Verilog, "El módulo ya fue declarado"

Estoy ejecutando el iverilog simulador con un ejemplo de Verilog de un diseño de uart con testbench. Cuando ejecuto este comando, iverilog -o a.vvp uart_tb.v uart.v Recibo el siguiente error:    /uart.v:148: El módulo uart2 ya se d...
3
respuestas

Verilog siempre se bloquea sin posicionar o negar

Tengo un bloque Verilog básico que escribí para desencadenar cualquier cambio en la señal. always @ (trigger) begin data_out <= data_in; end Esperaba que esto se disparara en el borde ascendente o descendente del gatillo. En su l...
1
respuesta

Decisión de diseño de Verilog: ¿dónde debería ubicarse mi contador?

Estoy codificando en Verilog un contador típico de conteo a n y luego reinicio a 0. Mi módulo tiene la lógica para incrementar y restablecer el contador. Mi problema es que no sé dónde se debe definir el contador. Podría pasar el contador...
2
respuestas

Bucle de retroalimentación en Verilog

Tengo un problema con la escritura del código Verilog HDL. Quiero diseñar un controlador PID simple en FPGA. Estoy usando la familia Cyclone II. Quiero retroalimentar mi valor de salida como una entrada en una etapa previa de cálculos. La ecuaci...
1
respuesta

¿Verilogar el uso correcto del valor de alta impedancia?

En verilog, ¿cuáles son algunos ejemplos de cuándo se debe optar por usar el valor de alta impedancia Z? Gracias     
1
respuesta

Enlace único DVI-D a FPGA

Estoy usando FPGA de la familia LatticeXP2. DVI-D Enlace único que opera a 720p está conectado a FPGA. De alguna manera necesito leer las coordenadas RGB y XY de los píxeles. Sé que necesito el decodificador TMDS, pero no estoy seguro de cómo es...
1
respuesta

Intentando programar un FPGA. (Altera ciclón II)

Tengo la placa de desarrollo FPGA (Cyclone II EP2C20F484C7) y estoy tratando de implementar un programa de contador simple como se muestra a continuación (y tal vez lo vincule a los LED). module counter (clk, reset, enable, count); input clk,...