Preguntas con etiqueta 'verilog'

3
respuestas

Cruce del dominio del reloj y problema de metastablilty

Entiendo el problema de la metastabilidad y entiendo que no podemos obtener un valor estable en un tiempo limitado, por lo que necesitamos tiempo no vinculado pero no es práctico, así que pusimos otro flip flop sin lógica para permitir que un...
2
respuestas

Múltiples salidas de LED en FPGA usando Verilog

Hice un contador simple de 0 a 9 con Verilog. La salida es de 4 LED que se encienden cuando su bit correspondiente es 1. El código se sintetiza bien, pero en el FPGA, solo un LED se enciende y apaga repetidamente. Los otros tres LEDs no se ilumi...
1
respuesta

¿Cómo eliminar el error en la siguiente declaración verilog mientras se ejecuta para el simulador ise?

Escribí algunas líneas de código y está dando error. El código está abajo: module tb(); wire [7:0] m1,m2,m3; reg [7:0] a,b,c; reg en; reg clka=0; s_three call(m1,m2,m3,a,b,c,en,clka); always begin #10 clka<=~clka;...
1
respuesta

Verilog: ¿Cómo mantener el proceso reaccionando solo al clk y al reiniciar?

Tengo un código Verilog que simula correctamente. Decidí sintetizarlo y veo estas advertencias que me inquietan: Signal <> missing in the sensitivity list is added for synthesis purposes. HDL and post-synthesis simulations may differ as...
1
respuesta

¿Cómo funciona este acumulador directo de síntesis digital?

Entonces, tomé un código en línea y lo transformé para que funcionara con mi tablero DE2-115, y ahora necesito ayuda para entender exactamente cómo funciona. DDS_accum <= DDS_accum + 32'h16FC7BBC + ((256+((message[15]==0)? message[15:7...
2
respuestas

Verilog: combinando lógica secuencial con lógica combinacional

Estoyintentandoimplementaruncambiosecuencialyagregarunmultiplicadorde4bitscomosemuestraenlaimagen.Tengounmóduloseparadoparaelsumadordeacarreoderizadode4bits.Heprobadoelmódulosumadoryfuncionabien.ahoranecesitoactivarlodesdeelmódulomultiplicador.p...
1
respuesta

error "no está declarado" en Verilog

Creé un módulo de codificador 8-3 simple (llamado encoder8to3 ) para reemplazar algunas instancias repetidas de código Verilog. Luego intenté usar el módulo en levers2.v . Recibo el siguiente error cuando ejecuto un archivo de prueba...
3
respuestas

Vea más de 100 rutas en el peor de los casos en Quartus II

Estoy utilizando Quartus II para compilar Verilog para mi proyecto FPGA. Para la depuración, uso SignalTap, que introduce muchas advertencias de tiempo. Cuando voy al informe TimeQuest, y veo las rutas de tiempo de peor caso, las 100 rutas más l...
1
respuesta

restricciones de verilog del sistema

Tengo que asignar un valor aleatorio a un valor de 32 bits (miembro de una clase utilizada en mi banco de pruebas - lógica no sintetizable), de modo que la cantidad de unidades sea siempre menor que N (por ejemplo, 4) usando restricciones de ver...
1
respuesta

omitiendo la declaración de señales locales

Tengo algunos códigos de systemverilog creados por otros colegas y ejecutados en hardware sin problema. Puedo ver que hay algunas conexiones para dos módulos que no tienen una declaración de señal local como esta: module module_top ( inpu...