Preguntas con etiqueta 'verilog'

1
respuesta

Conversión de código Bin-to-BCD de VHDL a Verilog

Hola chicos, estoy tratando de traducir el código VHDL a Verilog, sin embargo, no funciona aunque se vean bastante iguales. No obtengo errores, sin embargo, no funciona con Verilog one, pero funciona con VHDL one. ¿Pueden ayudarme, por favor, a...
1
respuesta

Dividir un reloj en Verilog, ¿está bien?

Dividir un reloj en Verilog es un ejercicio básico, y hay un montón de respuestas en línea sobre cómo hacerlo. Lo que quiero saber es si está bien usar un reloj que se ha dividido usando verilog en un FPGA real para hacer flip flops. Lo p...
1
respuesta

Switch DEBUG no parece estar funcionando en mi código

Siguiendo el código que probé en el área de juegos EDA con el comando agregado con + define + DEBUG, el interruptor DEBUG no parece estar funcionando. Su bloque siempre es sensible al error y esta variable está cambiando cuatro veces aquí, pero...
1
respuesta

Flip flop con load / set, reset, clk, y input

No estoy buscando una descripción del idioma del hardware del flip flop, sino el nivel de la puerta lógica que se debe implementar. En verilog, el equivalente que estoy buscando es always@(posedge clk or negedge reset) begin if(~reset)...
1
respuesta

Error al pasar un cable de diferente tamaño a la entrada del módulo

Tengo un módulo para mostrar un número base 10 en mi pantalla de 7 segmentos. module displayN(input [13:0] n, input clk, input [3:0] an, input [6:0] seg); wire [3:0] d1 = n % 10; wire [3:0] d2 = (n / 10) % 10; wire [3:0] d3 = (n /...
1
respuesta

FPGA de Xilinx, error al crear el reloj generado

Acabo de recibir una placa Digilent Basys 3 (Artix-7 FPGA) y estoy intentando crear un programa para transmitir datos a través de la conexión UART-USB. Escribí un módulo, pero cuando intenté implementarlo, recibí un error de tiempo. He estado us...
3
respuestas

¿Es mejor arreglar x en la simulación o en el diseño?

Tengo una pregunta sobre cómo tratar con las x en las simulaciones de netlist de Verilog. Tengo un desacuerdo con otro ingeniero (que es un poco más alto que yo) sobre cuál es el enfoque correcto. Aunque esta pregunta puede parecer basada en opi...
2
respuestas

Patrones inesperados en Verilog $ random

Tengo un banco de pruebas Verilog que supervisa un bus de 64 bits y debo programar aleatoriamente un bit volteado (corrupción de paquetes) para que suceda cada paquete 1 en X. Me sorprendió descubrir que no inyectaba ningún tipo de corrupción, y...
2
respuestas

¿Qué son los pines de control XGMII?

La interfaz independiente de 10 Gigabit Media ( XGMII ) es un estándar de interfaz que utiliza 72 pines de datos para ambos RX y TX. Según tengo entendido, de esos 72 pines, solo 64 son en realidad datos, los 8 restantes son para control de erro...
3
respuestas

LUT contra multiplicadores basados en IP dura en Spartan-3 FPGA para la multiplicación de coeficientes constantes

Antes de llegar a mi pregunta, aquí están las especificaciones para el tablero y la herramienta de síntesis que estoy usando: Familia: Spartan3 Dispositivo: XC3S200 Velocidad: -5 Herramienta de síntesis: XST Mi multiplicador de 4 bi...