Preguntas con etiqueta 'verilog'

2
respuestas

Introduzca el retraso en una sola señal de bit w.r.t. reloj de entrada

He visto esta pregunta y eliminé el " # .. "parte de mi código para introducir demora, ya que mi código finalmente se ejecutará en hardware. De todos modos, estoy intentando con contadores y no puedo introducir el retardo requerido de n...
2
respuestas

Genere flip-flops usando solo lógica combinacional

Solo por diversión, quería diseñar y simular flip-flops tipo D utilizando solo lógica combinatoria en Verilog (o SystemVerilog). Estoy usando el uso de Verilator para la simulación. Mi intento inicial, que utiliza un diseño clásico de sei...
1
respuesta

La llamada de función no se ejecuta en verilog

Por qué la llamada de función a clogb2 no se está ejecutando en el siguiente código. No obtengo ningún error de compilación, pero el parámetro adder_width no se actualiza con el valor de clogb2 . De hecho, esa función no devu...
2
respuestas

Pines de entrada en el módulo superior desconectados

Tengo un problema al conectar diferentes módulos en un módulo superior. Quiero hacer un PWM muy simple usando un contador y un comparador. Contador: module counter #(parameter N = 6) (...
1
respuesta

ModelSim Altera: ¿simulando el módulo “lpm_add_sub”?

Estoy tratando de simular un módulo verilog que usa el módulo "lpm_add_sub" para proporcionar un sumador con un arrastre por separado (por alguna razón, Quartus II no reconoce ese a+b+c donde c es un solo bit se puede implementar e...
2
respuestas

Implementación de ALU en Verilog: ¿cómo manejar números negativos?

Estoy diseñando una ALU simple con and , add , load para las operaciones de entrada de 16 bits. Esto es lo que tengo hasta ahora: module ALU(overflow,out,in1,in2,select); input[1:0] select; output[15:0] out; output overflow...
1
respuesta

Restricción de la línea de reinicio

Estoy usando Quartus II para compilar mi diseño de Verilog, y estoy trabajando para restringir adecuadamente mis señales. Sé cómo restringir los relojes, por ejemplo: create_clock -name clk_i -period "157 MHz" [get_ports clk_i] También s...
2
respuestas

Advertencia inesperada de Verilog con respecto a la asignación de reloj FPGA

Tengo una pregunta sobre algo que no entiendo que está ocurriendo en mi proyecto FPGA. Necesito controlar dos dispositivos (AGC y ADC) a través de un bus SPI. Como el dispositivo maestro será el FPGA, estoy generando una señal de reloj, SCK , e...
1
respuesta

¿Cuándo y cómo separar Control y Datapaths para los diseños de hardware?

¿Debemos separar siempre el control y la ruta de datos durante la programación del hardware? ¿Hay alguna ventaja? En caso afirmativo, ¿cuál es la metodología básica seguida para esta estrategia? Estoy tratando de conectar una tarjeta SDHC con FP...
2
respuestas

El divisor de frecuencia funciona solo para algunos divisores (Verilog en Lattice iCEstick FPGA)

Estoy tratando de construir un divisor de frecuencia en un iCEstick de Lattice usando Verilog (con yosys, arachne-pnr, y icepack / iceprog): module demo(input clk, output LED1, LED2, LED3, LED4, LED5); assign LED1 = state; // Generate...