Preguntas con etiqueta 'verilog'

5
respuestas

No coincidencia entre la simulación de nivel RTL y la simulación posterior a la síntesis usando xilinx xst

He escrito un código verilog y la simulación RTL está funcionando bien. Después de esto, sinteticé el diseño utilizando la herramienta XST en Xilinx ISE 13.2. La simulación post-síntesis está mostrando algunos resultados inesperados. No sé qué s...
1
respuesta

Cómo encontrar el retardo de ruta crítica de un gran bloque combinacional

Tengo un multiplicador de 54 * 54, quiero encontrar el retardo de la ruta crítica. ¿Cómo voy? ¿Debo cronometrar el módulo para encontrar el retardo?     
1
respuesta

pila TCP / IP en Verilog

Estoy a punto de escribir una pila TCP / IP en Verilog. Pensé que esto era algo relativamente común y que las implementaciones estarían fácilmente disponibles en línea. La búsqueda obvia de Google para una implementación de TCP / IP en Verilo...
1
respuesta

Dump verilog array en gtkwave

¿Hay alguna forma de volcar la memoria en verilog usando vcd dump? Desde ahora he escrito esto: module sampler(clk, pixel); input clk; input[7:0] pixel; wire[7:0] pixel; reg [7:0] macro_block [0:63]; reg [5:0] address;...
1
respuesta

Módulo Verilog del bloque de memoria Altera Cyclone IV

Este documento explica las diversas características de los bloques de memoria de Altera Cyclone IV (conocido como "M9K"). Sin embargo, no se menciona cómo estos módulos deben ser instanciados en Verilog. ¿Dónde puedo encontrar la documentac...
1
respuesta

Agrupar señales de entrada y salida con el reloj correspondiente

En mi diseño Verilog, tengo dos relojes asíncronos, clk1 y clk2 . Asociado a cada reloj hay un montón de entradas y salidas. En este momento, mi compilador (Quartus II) no se queja cuando mezclo señales de E / S asociadas con...
2
respuestas

¿Por qué design_vision compila mi sumador de carry-lookahead en un sumador de ripple carry?

En mi escuela tenemos la Sinopsis "design_vision" en los laboratorios de computación. No sé cómo usar ninguna de las funciones, así que para mí es solo una herramienta de dibujo esquemático. Por curiosidad, codifiqué a mano un verificador de...
1
respuesta

Usando la sintaxis if / else para asignar sentencias

Tengo un wire al que asigno una expresión compleja del lado derecho con muchas operaciones a nivel de bits. Esta expresión del lado derecho se está volviendo rápidamente larga y difícil de mantener. ¿Hay alguna manera de reemplazar las...
2
respuestas

¿Cómo se sintetizará esta línea Verilog?

¿Cómo se sintetizará esta línea Verilog? data = (s == 0) ? bus0 : 16’hz El problema es que si uso mux / buffer no pondrá Z si s!=0 porque la salida de mux / buf es x (no definida) si la entrada es z.     
1
respuesta

Pregunta sobre Synthesizable For loop y Generate

Estoy usando el lenguaje Verilog y Zedboard como un tablero de destino. Por lo que sé, el bucle for se puede sintetizar, y la herramienta de síntesis traduce el bucle for como una secuencia de instrucciones duplicadas, como el desenrollado de...